Разработка счетчика

  • Вид работы:
    Курсовая работа (т)
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    472,38 Кб
  • Опубликовано:
    2016-09-21
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Разработка счетчика

Введение

Развитие вычислительной техники базируется на совершенствовании программных средств и разработке новых схемно-технологических принципов построения элементной базы цифровых микроэлектронных систем. Целью и задачей данного курсового проекта является проектирование генератора цифровой последовательности. Предусмотрены средства контроля правильности генерируемых слов, обработки чисел в случае возникновения сбоя, и автоматического приведения устройства в рабочее состояние после сбоя. Подобное устройство может оказаться полезным в качестве источника тестовых сигналов в процессе проверки цифровых систем.

В работе рассматриваются этапы разработки устройства от выбора его структуры до создания принципиальной схемы. Работоспособность устройства подтверждена путем эксперимента с использованием компьютерного моделирования в среде Multisim.



1. Теоретическая часть

.1 Основные сведения о счётчиках

На базе счетных триггеров можно построить цифровое устройство, получившее название электронного счетчика. Электронные счетчики (далее, просто счетчики) позволяют вести подсчет электрических импульсов, количество которых (поступившее на вход счетчика) представляется обычно в параллельном коде. Счетчики могут отличаться модулем счета и типом счетной последовательности, которая, в частности, может быть двоичной, двоично-десятичной, в коде Грея и т.п. Цифровые последовательностные устройства, выполненные по схеме счетчика, но имеющие один счетный вход и один выход называются делителями частоты. Таким образом, любой счетчик может служить в качестве делителя частоты, если используется информация только одного из его выходов. Так как счетчики и делители имеют единую структуру, основное внимание будет уделено синтезу счетчиков.

Счетчики и делители подразделяются на асинхронные и синхронные. У синхронных счетчиков все разрядные триггеры синхронизируются параллельно одними и теми же синхроимпульсами, поступающими из источника этих импульсов. Асинхронные счетчики имеют последовательную синхронизацию, каждый последующий разрядный триггер синхронизируется выходными импульсами триггера предыдущего разряда. Асинхронные счетчики иногда называют последовательными, а синхронные счетчики параллельными.

Синхронные счетчики подразделяются на параллельно-синхронные и последовательно-синхронные. Параллельные счетчики имеют более высокую скорость счета, чем асинхронные.

Счетчики, независимо от способа синхронизации, подразделяются на счетчики прямого счета (суммирующие) и на счетчики обратного счета (вычитающие).

В интегральном исполнении выпускаются также реверсивные счетчики, в которых имеется специальный вход для переключения режима работы, т.е. направления счета. Многие типы счетчиков, выпускаемые промышленностью в интегральном исполнении, имеют дополнительные входы предустановки, позволяющие использовать эти счетчики в режиме регистра памяти.

В качестве разрядных триггеров счетчиков и делителей могут быть использованы двухступенчатые D-триггеры, Т - триггеры и JK-триггеры.

Счетчики относятся к последовательностным устройствам с циклически повторяющейся последовательностью состояний. Число, соответствующее количеству импульсов (поступивших на вход счетчика), при котором счетчик «возвращается» в исходное состояние, называется модулем, или коэффициентом счета. Модуль счета, как правило, обозначают буквой М (или Ксч). Модуль счета счетчика численно совпадает с модулем деления делителя частоты. Счетчик по модулю 8 позволяет реализовать (без дополнительных схемных затрат) делитель частоты на 8. Это значит, что данный делитель делит частоту входной импульсной последовательности на 8.

Асинхронный двоичный счетчик. Асинхронный двоичный счетчик представляет собой совокупность последовательно соединенных триггеров (D- или JK), каждый из которых ассоциируется с битом в двоичном представлении числа. Если в счетчике m триггеров, то число возможных состояний счетчика равно 2m, следовательно, и модуль счета М также равен 2m. Счетная последовательность в двоичном суммирующем счетчике начинается с нуля и доходит до максимального числа 2m - 1, после чего снова проходит через нуль и повторяется. В вычитающем двоичном счетчике последовательные двоичные числа перебираются в обратном порядке и при повторении последовательности максимальное число следует за нулем.

Рассмотрим устройство двоичного суммирующего счетчика по модулю М = 16, выполненного на базе JK-триггеров.

На рисунке 1 изображены синхронизирующие входы всех триггеров, кроме крайнего левого, соединены с выходами предыдущих триггеров. Поэтому состояние триггера меняется в ответ на изменение состояния предыдущего триггера.

Рисунок 1 - Синхронизирующие входы всех триггеров

Из таблицы состояния счетчика, которая изображена на рисунке 2 легко заметить, что значение разряда в выбранной позиции меняется тогда, когда в соседней справа позиции состояние переходит из «1» в «0», управление триггерами осуществляется задним фронтом синхроимпульсов (отрицательным перепадом напряжения импульса синхронизации).

Рисунок 2 - Таблица состояния счетчика

Временные диаграммы, поясняющие работу асинхронного суммирующего счетчика, приведены на рисунке 3.

Рисунок 3 - Временные диаграммы асинхронного счетчика

Счетчики обратного счета (вычитающие счетчики). На рисунке 4 приведена схема асинхронного трехразрядного двоичного вычитающего счетчика, построенного на базе D-триггеров. Отметим, что условия для изменения состояний триггеров вычитающих счетчиков аналогичны условиям для суммирующих счетчиков, с той лишь разницей, что они должны «опираться» на значения инверсных, а не прямых выходов триггеров. Следовательно, рассмотренный выше счетчик можно превратить в вычитающий, если переключить входы «С» триггеров с выходов «Q» на выходы. Когда в качестве разрядных триггеров используются D-триггеры, синхронизируемые передним фронтом синхроимпульсов, то для получения вычитающего счетчика (асинхронного) входы «С» последующих триггеров соединяются с прямыми выходами предыдущих так же, как в счетчике прямого счета, построенного на JK-триггерах.

Рисунок 4 - Асинхронный трехразрядный двоичный вычитающий счетчик

Работу вычитающего счетчика на D-триггерах иллюстрирует рисунок 5, из которого следует, что после нулевого состояния всех триггеров (с приходом первого синхроимпульса) они устанавливаются в состояние «1». Поступление второго синхроимпульса приводит к уменьшению этого числа на одну единицу и т.д.

Рисунок 5 - Временные диаграммы работы вычитающего счетчика

После поступления восьмого импульса все триггеры снова обнуляются и цикл счета повторяется, что соответствует модулю М = 8.

В некоторых случаях необходимо, чтобы счетчик мог работать как в прямом, так и в обратном направлении счета. Такие счетчики называются реверсивными. Реверсивные счетчики могут быть как асинхронного, так и синхронного типа. Они строятся путем применения логических коммутаторов в цепях связи между триггерами. Так, например, асинхронный реверсивный двоичный счетчик можно построить, если обеспечить подачу сигналов с прямого (при суммировании) или с инверсного (при вычитании) выхода предыдущего JK- или Т-триггера на счетный вход последующего. В случае, когда реверсивный счетчик строится на базетриггеров, управляемых передним фронтом, при получении режима прямого счета следует соединить инверсный выход предыдущего со счетным входом последующего триггера.

Все рассмотренные типы счетчиков могут быть использованы в цифровых устройствах «умеренного» быстродействия, когда частота следования синхроимпульсов не превышает критического значения.

В связи с этим асинхронные счетчики строятся на относительно небольшое количество разрядов, так как при большем количестве разрядов выходные сигналы триггеров старших разрядов появляются позднее, чем управляющие фронты синхроимпульсов (поступающие на вход первого триггера).

Параллельные счетчики (синхронные счетчики). Как было уже сказано выше, параллельные счетчики бывают двух типов: синхронные параллельные и синхронные последовательные.

Синхронный последовательный счетчик. По способу подачи синхроимпульсов такие счетчики параллельные, т.е. синхроимпульсы поступают на все триггеры счетчика параллельно, а по способу управления (подача управляющих импульсов) - последовательные. Схема синхронного последовательного счетчика, реализованного на JK-триггерах, приведена на рисунке 6.

Рисунок 6 - Схема синхронного последовательного счетчика

Синхронный последовательный счетчик обладает повышенным быстродействием, но за счет последовательного формирования управляющих уровней на входы «J» и «К» счетных триггеров это быстродействие несколько уменьшается. Этот недостаток отсутствует у параллельных синхронных счетчиков, в которых формирование управляющих уровней и их подача на соответствующие входы триггеров счетчика осуществляется одновременно, т.е. параллельно. Пример реализации параллельного синхронного счетчика проиллюстрирован рисунке 7.

Рисунок 7 - синхронный параллельный счетчик по модулю 16, реализованный на JK-триггерах

Поскольку счетчик имеет одну общую линию синхронизации, то состояние триггеров меняется синхронно, т.е. те триггеры, которые по синхроимпульсу должны изменить свое состояние, делают это одновременно, а это существенно повышает быстродействие синхронных счетчиков.

Счетчики с произвольным коэффициентом счета. Принцип построения подобного класса счетных устройств состоит в исключении нескольких состояний обычного двоичного счетчика, являющихся избыточными для счетчиков с коэффициентом пересчета, отличающихся от двоичных. При этом избыточные состояния исключаются с помощью обратных связей внутри счетчика.

.2 Счетчики с произвольным коэффициентом счета

Принцип построения подобного класса счетных устройств состоит в исключении нескольких состояний обычного двоичного счетчика, являющихся избыточными для счетчиков с коэффициентом пересчета, отличающимися от двоичных. При этом избыточные состояния исключаются с помощью обратных связей внутри счетчика.

Число избыточных состояний для любого счетчика определяется по формуле 1:

М = 2m - Ксч,        (1)

где    Ксч - требуемый коэффициент счета;

М - число запрещенных состояний;

m - число устойчивых состояний двоичного счетчика;

Задача синтеза счетчика с произвольным коэффициентом счета заключается в определении необходимых обратных связей и минимизации их числа. Требуемое количество триггеров определяется по формуле 2:

n= [log2 Ксч],       (2)

где    Ксч - требуемый коэффициент счета;

[log2 Ксч] - двоичный логарифм заданного Ксч

n - количество триггеров;

В каждом отдельном случае приходится применять какие-то конкретные методы получения требуемого коэффициента пересчета. Существует несколько методов получения счетчиков с заданным коэффициентом пересчета Ксч. Один их этих методов заключается в немедленном сбросе в «0» счетчика, установившегося в комбинацию, соответствующему числу Ксч. Его называют также методом автосброса. Рассмотрим пример реализации счетчика с Ксч=10 методом автосброса. Очевидно, что «сбрасывая» двоичный четырехразрядный счетчик на нуль каждый раз, когда он будет принимать состояние 1010, можно обеспечить «возврат» счетчика в исходное состояние после каждых десяти импульсов. Подобный прием удобно применять при использовании счетчиков в интегральном исполнении, имеющих ячейки конъюнкции (И) на входах установки в нуль, как это сделано в микросхеме К1533ИЕ5. На рисунке 8 изображены организованы соединения, обеспечивающие коэффициент пересчета Ксч =10.

Рисунок 8 - Пример реализации счетчика с Ксч =10

На рисунке 9 отображены конфигурации соединений для получения различных коэффициентов пересчета с помощью счетчика К1533ИЕ5. В графе «Соединения» указано, какие выводы микросхемы должны быть соединены между собой. В строках «Ввод» и «Выход» таблицы указаны номера выводов микросхемы, на которые следует подавать входные импульсы и с которых надлежит снимать выходные, соответственно.

Рисунок 9 - Конфигурации соединений для получения различных коэффициентов пересчета


. Практическая часть

электронный счетчик асинхронный

2.1 Разработка логического устройства

В данной работе необходимо разработать логическое устройство, выполняющее функцию генератора цифровой последовательности.

Генерируемая последовательность: 0, 3, 14, 25, 16, 7, 18, 21, 6

Элементная база:

а)      логические элементы: И, ИЛИ, НЕ;

б)      последовательностные элементы: счётчики.

Генератор цифровой последовательности, можно рассматривать как совокупность счётчика (совокупность триггеров) и комбинационной схемы, которая создаёт заданную последовательность. В связи с этим, процесс проектирования регистров можно разделить на два этапа - проектирования счётчика и создания комбинационной схемы. Рассмотрим вопросы проектирования схемы. Сам процесс проектирования схемы можно представить, как поэтапное преобразование описания работы счётчика; от словесного описания до формального описания сигналов, управляющих работой триггера, которое позволяет построить схему, формирующую требуемые сигналы.

Алгоритм синтеза устройства, выполняющего функцию генератора цифровой последовательности, включает в себя следующие операции:

б)      построение таблицы истинности, описывающей работу генератора цифровой последовательности;

в)   построение карт Карно по таблице истинности;

г)       построение СДНФ функций по картам Карно, их минимизация, приведение к нужному базису;

д)      построение комбинационной схемы по полученной СДНФ функции;

е)       синтез выбранного устройства.

.2 Синтез устройства

Генерируемая последовательность содержит девять десятичных чисел, соответственно требуемый коэффициент счёта будет равен девяти. После требуется определить количество триггеров в счётчике по формуле 3:

n= [log2 Ксч]        (3)

где Ксч - требуемый коэффициент счета

[log2 Ксч] - двоичный логарифм заданного Ксч

n - количество триггеров;

С помощью формулы 3 проводим вычисления: n= [log2 9] =3,17

Округляя результат в большую сторону получаем, что для построения счётчика с коэффициентом счёта девять требуется четыре триггера.

Для повышения быстродействия цифрового устройства будет использоваться синхронный параллельный счетчик, который имеет одну общую линию синхронизации, следовательно состояние триггеров меняется синхронно. К тому же формирование управляющих уровней и их подача на соответствующие входы триггеров счетчика осуществляется одновременно.

Для получения требуемого коэффициента счёта будет применяться метод автосброса. Он будет осуществляться, когда выходные состояния счётчика установятся в комбинацию, соответствующему коэффициенту счёта. Чтобы узнать при какой комбинации четырёхразрядный счётчик должен сбрасываться в состояние «0» требуется перевести число 9 (коэффициент счёта) из десятичной системы счисления в двоичную. Перевод показан на рисунке 10:

Рисунок 10 - Перевод числа 9 из 10-чной системы счисления в 2-ичную

Получаем, что число 9 в двоичной системе равняется 1001. Таким образом сбрасывая двоичный четырехразрядный счетчик на нуль каждый раз, когда он будет принимать состояние 1001, можно обеспечить возврат счетчика в исходное состояние после каждых девяти импульсов.

Для правильной работы синхронного параллельного счётчика на все синхровходы требуется одновременно подавать синхроимпульс. На JK входы первого разряда требуется подавать логическую единицу, для этого мы будет использовать источник напряжения (VCC). На JK входы второго разряда требуется подать прямой выход триггера первого разряда. На JK входы последующих разрядов требуется подавать конъюнкцию прямых выходов триггеров предыдущих разрядов.

Чтобы сгенерировать синхроимпульс используется функциональный генератор (XWG1), но так как на положительном выходе мы наблюдаем, что мы получаем повторяющуюся комбинацию 1-0, а требуется 0-1, поэтому на положительном выходе требуется произвести инверсию с помощью инвертора (NOT).

Далее следует произвести моделирование данного счётчика в компьютерной среде моделирования Multisim. Результаты моделирования показаны на рисунке 11:


Рисунок 11 - Четырёхразрядный синхронный параллельный счетчик с коэффициентом счёта равняющимся девяти

После синтеза счётчика требуется произвести синтез комбинационной схемы, где в качестве входных сигналов будут использованы выходы полученного четырёхразрядного счётчика, а выходами станут разряды чисел заданной последовательности в двоичных эквивалентах. Чтобы узнать максимальное количество разрядов в заданной последовательности требуется наибольшее число перевести из десятичной в двоичную. Но так как для создания комбинационной схемы требуется таблица истинности данный перевод надо проделать со всеми числами из последовательности. Перевод всех чисел из десятичной системы в двоичную показан на рисунке 12:

Рисунок 12 - Переводы чисел из десятичной системы счисления в двоичную

Результаты переводов из десятичной системы счисления в двоичную показаны на рисунке 13:

Рисунок 13 - Результаты перевода

Таблица истинности, построенная с использованием полученных результатов перевода чисел изображена на рисунке 14.

Рисунок 14 - Таблица истинности

С помощью данной таблицы истинности мы составляет карты Карно для выходных состояний комбинационной схемы, которые являются разрядами чисел заданной последовательности. Полученные карты Карно показаны на рисунках 15-19:

Рисунок 15 - Карта Карно для пятого разряда

Рисунок 16 - Карта Карно для четвёртого разряда

Рисунок 17 - Карта Карно для третьего разряда


Рисунок 18 - Карта Карно для второго разряда

Рисунок 19 - Карта Карно для первого разряда

Пользуясь данными картами Карно получаем логические функции для переменных Y4 - Y0 (Пятый - первый разряды двоичных эквивалентов чисел заданной последовательности). Полученные формулы показаны в выражениях 4:

Y4=

Y3=

Y2=    (4)

Y1=0=

где    Y4, Y3, Y2, Y1, Y0 - Пятый, четвёртый, третий, второй и первый разряды двоичных эквивалентов чисел заданной последовательности;

 - инверсные значения четвёртого, третьего, второго и первого разряда счётчика;

 - прямые значения четвёртого, третьего, второго и первого разряда счётчика.

Результаты моделирования комбинационной схемы по заданным формулам показаны на рисунке 20:

Рисунок 20 - Комбинационная схема

Далее требуется провести объединение комбинационной схемы с выходными значениями счётчика. Результат объединения, который показан на рисунке 21, будет являться полностью готовой функциональной схемой устройства.


Рисунок 21 - Функциональная схема устройства

Заключение

Целью данного курсового проекта являлась разработка схемы устройства, выполняющего функцию генератора цифровой последовательности. При разработке были учтены такие вопросы, как: разработка синхронного параллельного счётчика, синтез комбинационной схемы.

После анализа устройства, можно сделать вывод о том, что выбранный вариант схемы самый оптимальный. Устройство работает без сбоев и ошибок.

Во время работы над проектом мной был приобретён практический опыт проектирования устройств, углублены теоретические сведения по следующим дисциплинам: проектирование цифровых устройств, цифровая схемотехника.

Задачи и цели, поставленные при проектировании генератора цифровой последовательности, а именно разработка счётчика, и синтез комбинационной схемы, полностью выполнены.

Список использованных источников

1.  Новиков Ю.В. Основы цифровой схемотехники: Базовые элементы и схемы. Методы проектирования. - М.: Мир, 2011. - 379 с.

2.      Омичев Г.С. Цифровая схемотехника: Регистры и триггеры. - СПб.: Политехника, 2012. - 230 с.

.        Резнов В.С. Синтез цифровых устройств. - М.: Мир, 2013. - 250 с.

4.  Угрюмов Е.П. Цифровая схемотехника. - СПб.: БХВ - Санкт-Петербург, 2013. - 528 с.

Похожие работы на - Разработка счетчика

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!