Проектирование модуля запоминающего устройства

  • Вид работы:
    Курсовая работа (т)
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    459,89 Кб
  • Опубликовано:
    2015-06-24
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Проектирование модуля запоминающего устройства

МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ РФ

Федеральное государственное бюджетное образовательное учреждение
высшего профессионального образования
Национальный исследовательский университет «МЭИ»





КУРСОВОЙ ПРОЕКТ

по дисциплине

«Запоминающие устройства ЭВМ»

Тема

«Проектирование модуля запоминающего устройства»


Студент Чеботарёв М. В.

ГруппаА-07-12

РуководительБалашов В. Н.







Москва2015 г.

СОДЕРЖАНИЕ

ВВЕДЕНИЕ

1.РАЗРАБОТКА АППАРАТНЫХ СРЕДСТВ МОДУЛЯ ПЗУ

1.1 СТРУКТУРНАЯ СХЕМА МОДУЛЯ ПЗУ

1.2 БЛОК ПАМЯТИ ММ

1.3 БЛОК ДЕШИФРОВАНИЯ АДРЕСА DCCS

1.4 БЛОК РЕГИСТРОВ ВЫХОДНЫХ ДАННЫХ DO

1.5 БЛОК КОНТРОЛЯ ЧЕТНОСТИ ERR

2.РАСЧЕТ ЭЛЕКТРИЧЕСКОГО СОПРЯЖЕНИЯ МИКРОСХЕМ ПЗУ И СХЕМ УПРАВЛЕНИЯ

2.1 СОГЛАСОВАНИЕ ПО ЭЛЕКТРИЧЕСКИМ ПАРАМЕТРАМ ВХОДНЫХ ЦЕПЕЙ МИКРОСХЕМЫ ПАМЯТИ

2.1.1 СОГЛАСОВАНИЕ ПО ЦЕПЯМ АДРЕСА

2.1.2 ПРОВЕРКА ДОПУСТИМОСТИ ЗНАЧЕНИЯ ВРЕМЕНИ НАРАСТАНИЯ СИГНАЛА НА ВХОДЕ АДРЕСА МИКРОСХЕМЫ ПАМЯТИ

2.1.3 СОГЛАСОВАНИЕ ПО ЦЕПЯМ ВЫБОРА МИКРОСХЕМ ПАМЯТИ

2.1.4 ПРОВЕРКА ДОПУСТИМОСТИ ЗНАЧЕНИЯ ВРЕМЕНИ НАРАСТАНИЯ СИГНАЛА НА ВХОДЕ ВЫБОРА МИКРОСХЕМЫ ПАМЯТИ

2.2 СОГЛАСОВАНИЕ ПО ЭЛЕКТРИЧЕСКИМ ПАРАМЕТРАМ ЦЕПЕЙ ВЫХОДНЫХ ДАННЫХ БИС ЗУ

2.2.1 СОГЛАСОВАНИЕ ЦЕПЕЙ ВЫХОДНЫХ ДАННЫХ ПО ЕМКОСТИ

2.2.2 СОГЛАСОВАНИЕ ЦЕПЕЙ ВЫХОДНЫХ ДАННЫХ ПО ТОКОВЫМ КРИТЕРИЯМ

2.2.3 РАСЧЕТ ВРЕМЕННОЙ ЗАДЕРЖКИ МЕЖДУ МОДУЛЕМ ПАМЯТИ И ВЫХОДНЫМ РЕГИСТРОМ

3.ПОСТРОЕНИЕ ВРЕМЕННЫХ ДИАГРАММ РАБОТЫ МОДУЛЯ ПЗУ В РЕЖИМЕ СЧИТЫВАНИЯ

4.ПОСТРОЕНИЕ УПРАВЛЯЮЩЕГО УСТРОЙСТВА (COM)

5.ВРЕМЕННЫЕ ПАРАМЕТРЫ РАБОТЫ ЗУ

6.РАСЧЕТ ПОТРЕБЛЯЕМОЙ МОЩНОСТИ МОДУЛЕМ ЗУ

7.ВЫБОР КОНДЕНСАТОРОВ И ФИЛЬТРОВ В ЦЕПИ ПИТАНИЯ

ЗАКЛЮЧЕНИЕ

СПИСОК ЛИТЕРАТУРЫ

ПРИЛОЖЕНИЯ

ВВЕДЕНИЕ

В данной курсовой работе необходимо разработать постоянное запоминающее устройство (ПЗУ) ёмкостью 32К слов × 36 разрядов на основе микросхем PROM типа КР556РТ18. PROM (Programmable Read-Only Memory) - класс однократно программируемых полупроводниковых запоминающих устройств, постоянная память с пережигаемыми перемычками. Модуль ПЗУ должен иметь раздельные шины данных, адреса и блок проверки ошибок чётности. В узлах управления используются микросхемы серии 74F. Управление модулем ПЗУ осуществляется внешними сигналами:- сигнал синхронизации;- сигнал выбора устройства;- сигнал считывания информации.- сигнал подтверждения выставления адреса на шину

1.РАЗРАБОТКА АППАРАТНЫХ СРЕДСТВ МОДУЛЯ ПЗУ

1.1 СТРУКТУРНАЯ СХЕМА МОДУЛЯ ПЗУ

Структурная схема модуля ЗУ изображена на рис. 1.1.

Она состоит из пяти элементов:

Блок дешифрирования адреса DCCS;

Блока памяти MM;

Управляющего устройства COM;

Блока регистров выходных данных DO;

Блок проверки чётности ERR.

Сигналы и их назначение описаны в таблице 1.1.

Рис. 1.1 - Структурная схема модуля ЗУ

Таблица 1.1. - Назначение сигналов модуля ЗУ

Сигнал

Назначение

Внешние

MS

Сигнал выбора микросхемы с внешнего устройства

CLK

Синхросигнал с внешнего устройства

A

Сигнал адреса с внешнего устройства

MR

Сигнал считывания с внешнего устройства

MDO

Сигнал выходных данных

ERR

Сигнал ошибки чётности

AEN

Сигнал подтверждения выставления адреса на шину

Внутренние

Сигнал адреса

CS

Сигнал выбора микросхемы после дешифрирования адреса

С1

Сигнал разрешения дешифрования адреса

C2

Сигнал разрешения сохранения данных из ММ в выходной регистр

С3

Сигнал разрешения подачи данных из выходного регистра на выход устройства

DO

Сигнал выходных данных


1.2 БЛОК ПАМЯТИ ММ

Блок памяти MM (medium memory) состоит из матрицы объединенных между собой БИС ЗУ. Все микросхемы объединены по входам адреса и выходам данных, строка матрицы выбирается с помощью сигнала CS. Количество слов в ОЗУ должно составлять 32768, длина слова - 36 разрядов.

Определим число БИС ЗУ в модуле в целом QM, а также число строк NR и столбцов NC матрицы:

= n/nM = 36/8 = [4,5 столбца] = 5 столбцов = N/NM = 32768/2048= 16 строк= (N/NM)´(n/nM) = NR ´NC = 80 микросхем (1)

где N, NM - число слов в модуле и в микросхеме БИС ЗУ; n, nM - число разрядов в модуле ПЗУ и в микросхеме ЗУ соответственно. Очевидно, что число выходов дешифратора DCCS должно быть равно NR. Для хранения контрольных разрядов каждой строки будем использовать микросхемы, расположенные в каждой строке пятого столбца.

Принципиальная электрическая схема блока памяти приведена на рис. 1.2.

Рис. 1.2 - Принципиальная электрическая схема блока памяти

.3 БЛОК ДЕШИФРОВАНИЯ АДРЕСА DCCS

Блок дешифрирования адреса содержит два дешифратора 74F138 для формирования сигналов CS выбора микросхем памяти. Для адресации 32К слов в памяти необходимо ]32768[= 15 разрядов шины адреса. 11 разрядов поступают на адресные входы всех микросхем памяти, а 4 разряда поступают на дешифраторы для формирования сигнала CS выбора строчки в матрице микросхем.

Принципиальная электрическая схема блока дешифрирования адреса приведена на рис. 1.3.

Рис. 1.3 - Принципиальная электрическая схема блока дешифрирования адреса

.4 БЛОК РЕГИСТРОВ ВЫХОДНЫХ ДАННЫХ DO

Блок регистров выходных данных состоит из 5 регистров 74F374 (8 бит) для выдачи на выход считываемой из ПЗУ информации. Управляется сигналом C3 (запись информации в регистры).

Принципиальная электрическая схема блока регистров выходных данных приведена на рис. 1.4.

Рис. 1.4 - Принципиальная электрическая схема блока регистров выходных данных

1.4 БЛОК КОНТРОЛЯ ЧЕТНОСТИ ERR

Блок проверки чётности состоит из четырёх девятиразрядных микросхем контроля чётности 74F280B. Контрольный разряд в микросхемах памяти дополняет сумму единиц на выходе микросхемы до чётного числа. Выходы чётности микросхем объединены элементом И, при отсутствии чётности суммы разрядов хотя бы на одной микросхеме в строке, генерируется сигнал ошибки чётности ERR.

Принципиальная электрическая схема блока проверки чётности приведена на рис. 1.5.

Рис. 1.5 - Принципиальная электрическая схема блока проверки чётности

2. РАСЧЕТ ЭЛЕКТРИЧЕСКОГО СОПРЯЖЕНИЯ МИКРОСХЕМ ПЗУ И СХЕМ УПРАВЛЕНИЯ

2.1 СОГЛАСОВАНИЕ ПО ЭЛЕКТРИЧЕСКИМ ПАРАМЕТРАМ ВХОДНЫХ ЦЕПЕЙ МИКРОСХЕМЫ ПАМЯТИ

К входным цепям модуля ПЗУ относятся цепи адреса и управления. Необходимость электрического сопряжения обусловлена тем, что число БИС ЗУ в модуле ПЗУ QM составляет несколько десятков, а также тем, что электрические параметры БИС памяти обычно отличаются от электрических параметров микросхем, используемых в схемах управления. Для удобства расчетов введем два вспомогательных параметра: коэффициент объединения по входу Кс и коэффициент разветвления по выходу по входной цепи.

Коэффициент объединения по входу KC - число одноименных входов микросхем памяти, которые необходимо подключить к одному выходу соответствующей схемы управления. Коэффициент объединения по адресным входам КCA равен числу БИС ЗУ в модуле:

КCA= QM, (2)

а по входам выбора микросхем:

КCCS = [NC] (3)

Коэффициент разветвления KP - число одноименных входов микросхем, которые можно подключить к выходу соответствующей схемы управления.

, (4)

где IOH, IOL, CL lim - допустимые значения выходных токов высокого и низкого уровней и емкости нагрузки элементов буферных формирователей; , IIL, CI - входные токи высокого и низкого уровней, и входная емкость по одному соответствующему входу БИС ЗУ;-емкость монтажа.

2.1.2 СОГЛАСОВАНИЕ ПО ЦЕПЯМ АДРЕСА

Коэффициент объединения по входам CS равен количеству столбцов:

 KСCS = [Nc] = 5

Для выбора строк в модуле ЗУ используется два дешифратора 3 в 8 74F138.

Параметры, необходимые для расчёта сопряжения по цепям выбора микросхемы памяти занесены в таблицу 2.2.1.

Таблица 2.2.1 - Параметры микросхем для сопряжения по цепям CS

Элемент

IOH, мА.

IOL, мА.

CL lim, пФ.

74F07

2,5

64

500

Элемент

IIH, мА.

IIL, мА.

CI, пФ.

КР556РТ18

0.04

0.25

10


Коэффициент разветвления для цепи CS равен:

 

QBFА = КCА /КPА = 80/48 = 1,6 > 1, следовательно необходимо применить буфер.

2.1.2 ПРОВЕРКА ДОПУСТИМОСТИ ЗНАЧЕНИЯ ВРЕМЕНИ НАРАСТАНИЯ СИГНАЛА НА ВХОДЕ АДРЕСА МИКРОСХЕМЫ ПАМЯТИ


ΔtR = ((CL-CR ) UCC)/IOS,  (5)

модуль память блок сигнал

где CL - емкость нагрузки, фактически подключенная к выходу элемента; CR - емкость нагрузки, при которой гарантируются динамические параметры; UCC - напряжение источника питания этого элемента, IOS - ток заряда емкости CL, равный току короткого замыкания элемента BF при напряжении питания UCC.

ΔtRCS = ((CL-CR ) UCC)/IOS = < 45нс

Т.к. CL - CR = 5×10 - 50 = 0, следовательно, задержка не вносится.

2.1.3 СОГЛАСОВАНИЕ ПО ЦЕПЯМ ВЫБОРА МИКРОСХЕМ ПАМЯТИ

Коэффициент объединения по входам CS равен количеству столбцов:

 KСCS = [Nc] = 5

Для выбора строк в модуле ЗУ используется два дешифратора 3 в 8 74F138.

Параметры, необходимые для расчёта сопряжения по цепям выбора микросхемы памяти занесены в таблицу 2.2.1.

Таблица 2.2.3 - Параметры микросхем для сопряжения по цепям CS

Элемент

IOH, мА.

IOL, мА.

CL lim, пФ.

74F138

1

20

500

Элемент

IIH, мА.

IIL, мА.

CI, пФ.

КР556РТ18

0.04

0.25

10


Коэффициент разветвления для цепи CS равен:

 = КCCS /КPCS = 5/25 = 0,2 < 1, следовательно в применении буфера сигнала CS нет необходимости.

2.1.4 ПРОВЕРКА ДОПУСТИМОСТИ ЗНАЧЕНИЯ ВРЕМЕНИ НАРАСТАНИЯ СИГНАЛА НА ВХОДЕ ВЫБОРА МИКРОСХЕМЫ ПАМЯТИ

Увеличение времени нарастания сигнала можно приблизительно оценить по формуле:

ΔtR = ((CL-CR ) UCC)/IOS,  (5)

где CL - емкость нагрузки, фактически подключенная к выходу элемента; CR - емкость нагрузки, при которой гарантируются динамические параметры; UCC - напряжение источника питания этого элемента, IOS - ток заряда емкости CL, равный току короткого замыкания элемента BF при напряжении питания UCC.

ΔtRCS = ((CL-CR ) UCC)/IOS = < 45нс

Т.к. CL - CR = 5×10 - 50 = 0, следовательно, задержка не вносится.

2.2 СОГЛАСОВАНИЕ ПО ЭЛЕКТРИЧЕСКИМ ПАРАМЕТРАМ ЦЕПЕЙ ВЫХОДНЫХ ДАННЫХ БИС ЗУ

Выходы данных БИС ЗУ являются выходами с тремя состояниями (ТС), поэтому выходы БИС ЗУ в каждом разряде могут быть соединены по способу «МОНТАЖНОГО И». Количество объединяемых выходов в каждом разряде, как указывалось выше, равно NR. Допустимость объединения БИС ЗУ по выходам определяется исходя из условия согласования по емкостной нагрузке и по токам высокого и низкого уровней напряжения на выходе выбранной микросхемы памяти:

СL lim ³ CO (NR - 1) + CI Z + CM = CL,(6)³ (NR - 1)ILOH + ZIIH,(7)³ (NR - 1)ILOL+ ZIIL + UCC /RL,(8)

где CO и СL lim - емкость выхода БИС ЗУ и предельно допустимая емкость нагрузки, подключенной к этому выходу; CI - входная емкость одного элемента нагрузки; Z - количество элементов-нагрузок; CM - ёмкость монтажа; CL - суммарная емкость нагрузки; IOH и IOL - выходные токи выбранной БИС ЗУ для высокого и низкого уровней напряжений на ее выходе; ILOH и ILOL - токи утечки на выходе невыбранных БИС ЗУ; IIH и IIL - входные токи высокого и низкого уровней элементов нагрузки; RL - сопротивление, подключенное к выходу микросхемы памяти.

2.2.1СОГЛАСОВАНИЕ ЦЕПЕЙ ВЫХОДНЫХ ДАННЫХ ПО ЕМКОСТИ

Проверим выполнение условия согласования по емкости:

СL lim = 100пФ, CO = 15пФ, CI =10пФ , Z = 2, CM =20пФ

СL lim = 100 ≤ 15 x (16 - 1) + 10 x 2 + 20 = 265 пФ.

Условие не выполняется, необходимо разбить микросхемы на 4 группы.

2.2.2 СОГЛАСОВАНИЕ ЦЕПЕЙ ВЫХОДНЫХ ДАННЫХ ПО ТОКОВЫМ КРИТЕРИЯМ

Теперь проверим токовые критерии, предварительно рассчитав номиналы резисторов RL, которые придется подключить к выходам микросхем памяти во избежание помех, которые в свою очередь могут возникать на выходах с тремя состояниями:

RL min = (UCC max - UOL)/(IOL - Z IIL + (NR - 1)ILO),(9)max = (UCC min - UOH)/(IOH + Z IIH + (NR - 1)ILO),(10)

где UCC max и UCC min - максимальное и минимальное напряжение источника питания, UOL и UOH - низкий и высокий уровни напряжения на входе БИС ЗУ (для ТТЛ - схем можно принять UOL = 0.4В; UOH = 2.4В); IOH и IOL - выходной ток высокого и низкого уровня БИС ЗУ; IIH и IIL - входной ток высокого и низкого уровней элементов нагрузки; ILO - ток утечки невыбранных БИС ЗУ; NR - число строк матрицы БИС ЗУ; Z - число элементов нагрузки. В худшем случае при определении RL min следует считать UOL = 0, ILO = 0. При определении RL max надо иметь в виду, что IOH = ILO.

UCC max = 5,25 В, UOL = 0,6 В, IOH = 2 мА, IIH = 0,001 мА, NR = 16

UCC min = 4,75 В, UOH = 2,4 В, IOL = 15 мА, IIL = 0,001 мА, ILO = 0,1 мА

RL min = 5,25/(15 - 2 х 0,001 ) х 103 = 350 Омmax = (4,75 - 2,4)/(2 + 2 х 0,001 + (16 - 1) x 0,1) x 103= 1,1 кОм

Следовательно, можно выбрать резисторы МЛТ-0,25Вт-1кОм±10% E12

Проверим выполнение условия сопряжения по токам высокого и низкого уровней напряжения на выходе выбранной БИС ЗУ:= 2 мА³ (16 - 1) х 0,1 + 2 х 0,001 =1,502 мА.= 15 мА³ (16 - 1) х 0,1+ 2 х 0,001 + (5 /1100) =1,506 мА.

Оба условия выполняются.

2.2.3 РАСЧЕТ ВРЕМЕННОЙ ЗАДЕРЖКИ МЕЖДУ МОДУЛЕМ ПАМЯТИ И ВЫХОДНЫМ РЕГИСТРОМ

Для расчёта допустимого значения времени нарастания сигнала на входе выходного регистра используется формула (5).

ΔtRRGDO = ((CL-CR ) UCC)/IOS

Т.к. CL - CR = 50 - 50 = 0, следовательно, задержка не вносится.

3. ПОСТРОЕНИЕ ВРЕМЕННЫХ ДИАГРАММ РАБОТЫ МОДУЛЯ ПЗУ В РЕЖИМЕ СЧИТЫВАНИЯ

Логические уровни сигналов на входах элементов в начальном состоянии занесены в таблицу 3.1.

Таблица 3.1 - Логические уровни сигналов на входах элементов в нач. состоянии

Сигнал

Блок

Вход

Лог. уровень

С1

DCCS

E1

1

С2

C

0

C3

RGDO

EZ

1


Последовательность действия для режима считывания:

Подать на DCCS сигнал C1 = ‘0’;

Подать на RGDO сигнал C2 = ‘1’;

Подать на RGDO сигнал C3 = ‘0’;

При построении временных диаграмм следует учитывать:

а) разброс времен задержки распространения при включении (TPHL) и выключении (TPLH) интегральных микросхем (обычно от 0 до максимально допустимого значения, указанного в ТУ на эти микросхемы);

б) изменение значений TPHL и TPLH от температуры;

в) увеличение времен задержки распространения DТP этих сигналов из-за того, что значения емкостей, подключенных к входам и выходам БИС ЗУ, обычно превышают значения, при которых гарантируются времена задержек, указанные в ТУ на используемые микросхемы. Увеличение времени задержки распространения, в случае определения временных задержек по одному фиксированному уровню напряжения, обычно составляет половину времени нарастания или спада сигнала ΔtR, рассчитанного выше.

В данном случае требуемый температурный диапазон: 0…+40 oC, что покрывается диапазоном -45…+85 oC, при котором гарантируется данные в справочнике параметры.

Временные диаграммы работы модуля ПЗУ в режиме считывания приведены на рис. 3.1.

Рис. 3.1 - Временные диаграммы модуля ПЗУ в режиме считывания.


4. ПОСТРОЕНИЕ УПРАВЛЯЮЩЕГО УСТРОЙСТВА (COM)

Управляющее устройство построено на элементах серии 74F. Его основу составляют двоичный четырёхразрядный счётчик с асинхронными входами сброса и предустановки 74F161A, работающий в схеме только в режиме инкремента и дешифратор 3 в 8 74F138. При соответствующих уровнях входных сигналов выбора микросхемы, режима чтения и подачи адреса, синхросигнал CLK переключает состояния счётчика, который, в свою очередь, переключает дешифратор, на выходы которого подключены элементы для формирования сигналов управления в соответствии с временной диаграммой.

Принципиальная электрическая схема устройства управления приведена на рис. 4.1.

Рис. 4.1 - Принципиальная электрическая схема устройства управления

5. ВРЕМЕННЫЕ ПАРАМЕТРЫ РАБОТЫ ЗУ

На основе временных диаграмм и разработанной схемы устройства управления определим задержки сигналов в модуле ЗУ, время цикла считывания информации TCY и время выборки tA(A).

Задержки используемых в модуле ЗУ логических микросхем приведены в таблице 5.1.

Таблица 5.1 - Задержки логических микросхем

Элемент

Блок

Время включения, нс



Обозначение

Макс.

КР556РТ18

ММ

tA(A) tCS

60 40

74F374

RGDO

tPLH tPHL tPZH tPZL tPLZ tPHZ

8,5 8,5 11,5 8,5 6 7

74F280B

ERR

tPLH tPHL

11 12

74F138

COM, DCCS

An - Dn: tPLH tPHL En - Dn: tPLH tPHL

8,5 9 9,5 8,5

74F161A

COM

CLK - Dn: tPLH tPHL R - Dn: tPHL

12 12 13

Элемент

Блок

Время включения, нс



Обозначение

Макс.

74F21

ММ, ERR

tPLH tPHL

5,5 5,5

74F32

COM

tPLH tPHL

6,6 6,3

74F08

COM

tPLH tPHL

6,6 6,3

DCCS,COM

tPLH tPHL

8 6,5

74F74

COM

tPLH tPHL

7,1 10,5


Основываясь на данных о задержках в микросхемах рассчитаем основные времена задержек.

tSU(CLK-C1)=tPHL(161A)+tPHL(138)+tPLH(08)+tPHL(74)=12+9+6,6+10,5=38,1(C1-DCCS)=tPHL(138)=9(DCCS-DO)=tCS(БИС ЗУ) =40(CLK-C2)=tPLH(161A)+tPHL(138)+tPLH(04)=12+9+8=29

tSU(DO-ERR)=tPHL(21)+=5,5+(11+12)/2=17(CLK-C3)=tPLH(161A)+tPHL(138)+tPHL(32)=12+9+6.3=27,3(CLK-C1)=tPHL(161A)+tPHL(138)+tPHL(08)+ tPLH(74)=12+9+6,3+7,1=34,4(CLK-C2)=tPLH(161A)+tPLH(138)+tPHL(04)=12+8,5+6,5=27(C1-DCCS)=tPLH(138)=8,5(DCCS-DO)=tCS(БИС ЗУ) =40(C3-MDO)==(12+8,5)/2=10,25(DO-ERR)=tPHL(21)+=5,5+(11+12)/2=17(CLK-C3)=tPLH(161A)+tPLH(138)+tPLH(32)=12+8,5+6.6=27,1(C3-MDO)==(7+6,5)/2=6,75

В соответствии с расчётами время цикла считывания информации = 80*4 = 320 нс; время выборки по адресному входу tA(A) = 80*2+27,3+10,25 = 197,55 нс.

6. РАСЧЕТ ПОТРЕБЛЯЕМОЙ МОЩНОСТИ МОДУЛЕМ ЗУ

 

Потребляемая мощность определяется мощностью, потребляемой БИС ЗУ (PCC.M) и микросхемами, на которых организованы схемы управления (PCC.CO):

РCC.MOD = PCC.M + PCC.CO .(11)

Если мощность, потребляемая БИС ЗУ в режимах хранения и обращения одинакова, то

PCC.M = QPROM PCC.PROM ,(12)

где QPROM - число БИС ЗУ в модуле ПЗУ PCC.PROM - мощность, потребляемая одной БИС ЗУ.

PCC.M = 80 ∙ 950мВт = 76Вт

Мощность, потребляемая схемами управления модуля ОЗУ, рассчитывается как сумма мощностей, потребляемых микросхемами, составляющими все узлы и блоки схем управления модуля ПЗУ (DCCS, RGDO, COM, ERR):

 MCU .CO =S (Qi PCC i) ,(13)

i=1

где MCU - количество различных типов микросхем в модуле ОЗУ; Qi - количество микросхем i-го типа; PCC i - мощность, потребляемая одной микросхемой i-го типа:

PCC I = UCC max ICC I ,(14)

где ICC.i - ток, потребляемый микросхемой i-го типа при UCC max и минимальной температуре. Если значение ICC i различное для состояний 0 и 1, то подставляется среднее значение тока потребления.

Значения токов, потребляемых используемыми микросхемами ICC приведены в таблице 6.1.

Таблица 6.1 - Электрические параметры микросхем

Элемент

Количество

ICC, мА

74F374

5

84

74F280B

4

35

74F138

3

20

74F161A

1

55

74F32

1

9,2

74F21

19

7,3

74F08

1

13

74F04

1

15

74F74

2

16

.CO = 5,5 (5∙84 + 4 ∙ 35 + 3∙20 + 55 +9,2 + 19∙7,3 + 13 + 15 + 16) × 10-3 = 4,77Вт

Также учтём мощность, потребляемую резисторами на выходах микросхем памяти:

РR = (Umax2 / R) ∙ 37 = (52 / 1100) ∙ 37 = 0,84 Вт (15)

РCC.MOD = 76 +4,77 + 0,84 = 81,6 Вт

Потребляемые токи определяются по формуле:

ICC = PCC / UCC = 81,6/5,5 =14,84 мА.(16)

7. ВЫБОР КОНДЕНСАТОРОВ И ФИЛЬТРОВ В ЦЕПИ ПИТАНИЯ

Для снижения уровня помех ставятся конденсаторы фильтров питания - для низкочастотных помех 1мкФ на микросхему, для высокочастотных помех 0,01..0,1мкФ на микросхему.

Подсчёт количества используемых микросхем приведен в таблице 7.1.

Таблица 7.1 - Количество используемых микросхем

Элемент

Количество

КР556РТ18

74F374

5

74F280B

4

74F138

3

74F161A

1

74F21

19

74F08

1

74F04

1

74F32

1

74F74

2

Итого:

117


Для фильтрации высокочастотных помех возьмём 30 конденсаторов К10-17А Н50 0.22мкФ из расчёта конденсатор на 4 микросхем. Для фильтрации низкочастотных помех возьмём 10 конденсаторов К50-68, 22 мкФ из расчёта конденсатор на 12 микросхем.

ЗАКЛЮЧЕНИЕ

В ходе данной курсовой работы был разработан модуль ПЗУ с объемом памяти 32к слов х 36 разрядов с контролем четности. Были разработаны следующие блоки модуля памяти: матрица элементов памяти (ММ), блок дешифрирования адреса (DCCS), блок регистров выходных данных (DO), блок проверки ошибок четности (ERR) и устройство управления (COM). Проведено сопряжение параметров функциональных блоков, построены временные диаграммы работы устройства и подсчитаны задержки сигналов в устройстве. Также рассчитаны потребляемая модулем ПЗУ мощность и фильтры для цепей питания.

В результате был разработан модуль ПЗУ, соответствующий всем требованиям ТЗ, с высоким быстродействием.

СПИСОК ЛИТЕРАТУРЫ

Применение интегральных микросхем памяти: Справочник/ А.А.Дерюгин, В.В.Цыркин, В.Е.Красовский и др.; Под ред. А.Ю.Гордонова, А.А.Дерюгина. - М.: Радио и связь, 1994. - 232 с.

Полупроводниковые БИС запоминающих устройств: Справочник/ В.В.Баранов, Н.В.Бекин, А.Ю.Гордонов и др.; Под ред. А.Ю.Гордонова и Ю.Н.Дьякова. - М.: Радио и связь, 1986. - 360с.

Большие интегральные схемы ЗУ: Справочник/ А.Ю.Гордонов, Н.В.Бекин, В.В.Цыркин и др.; Под ред. А.Б.Гордонова и Ю.Н.Дьякова. - М.: Радио и связь, 1990. - 288с.

Интегральные микросхемы и их зарубежные аналоги: Справочник/ А.В.Нефедов. - М.: КУбК-а, 1997. - 608с.

ПРИЛОЖЕНИЕ А - Описание параметров микросхем ПЗУ типа КР556РТ18

Информационная ёмкость………………………………..……………16384 бит

Организация…………………………………………...…2048 слов ´ 8 разрядов

Время выборки адреса…………………………………..………..Не более 60 нс

Напряжение питания…………………………………..………..……...5 В ± 5 %

Потребляемая мощность……………………………………..Не более 950 мВт

Диапазон температур..……………………………………………-10. . .+70 °С

Выход………………………………………………….………......Три состояния

Совместимость по входу и выходу……………….…………….с ТТЛ схемами

Коэффициент программируемости при

поставках партии более 1000 шт…………………………………Не менее 0,7

Тип корпуса…………………………………………Пластмассовый, 239.24-2

Обозначение выводов микросхемы представлено на рис. А.1.

Рис. А.1. Условное обозначение микросхемы КР556РТ18

Таблица А.1 - Назначение выводов микросхемы КР556РТ18

Выводы

Назначение

Обозначение

1 … 8, 21…23  9 … 11, 13 … 17 20,19,18 24 22 12

Адресные входы  Выходы данных Выбор микросхемы Напряжение питания Напряжение питания при программировании Общий

А7 …. A0, A10… A6 DO0 … DO7 CS1, CS2, CS3 UCC UPR  0 В



Таблица A.2 - таблица истинности микросхемы КР556РТ18

CS1

CS2

CS3

A0 … A10

D00 . . . D07

Режим работы

M 0

M 1

M 1

X A

Roff Данные в прямом коде

Хранение Считывание

Примечание: M - любая комбинация сигналов CS, кроме CS1=1, CS2=1, CS3=0.

Предельные режимы эксплуатации микросхем серии КР556 представлены в таблице А.3

Таблица А.3 - предельные режимы эксплуатации МС КР556

Параметры

Значения параметров


мин.

Напряжение питания, Ucc lim , В Кратковременное напряжение питания в течение 5 мс, Uсс к lim , В Напряжение на выходе закрытой микросхемы в течение 5 мс, U0lim , В Входное напряжение, UI lim, В Входной ток, II lim, мА Кратковременный выходной ток в течение 5 мс мА Ёмкость нагрузки, CL lim, пФ

 - ¾ 0,5   -  ¾ 0,5  -  -   -

6,0 7,0  7,0  5,5 5 20  100



Таблица А.4 - Статистические параметры микросхемы КР556РТ18

Параметры

мин.

макс.

Напряжение питания Ucc , В Ток потребления Icc , мА Входное напряжение ,В: Логической 1, UIH Логического 0, UIL Входной ток: Логической 1, IIH ,мкА Логического 0, IIL ,мА Выходное напряжение ,В: Логической 1, UОH Логического 0, UОL Выходной ток: Логической 1, IОH ,мкА Логического 0, IОL ,мА Ток утечки по выходу логической 1, ILОH ,мкА Ток утечки по выходу логического 0, ILОL ,мкА

4,75 -  2,4 -  - - 2,4 -  - -  - -

5,25 180  - 0,5  40 0,25 - 0,6  2000 15  100 100


Таблица А.4 - Динамические параметры микросхемы КР556РТ18

Параметры

мин.

макс.

Время выборки адреса, tA(A),нс Время выбора tCS, нс Время запрещения входных данных после сигнала CS, tDIS(CS), нс Входная ёмкость CI, пФ Выходная ёмкость CО, пФ Ёмкость нагрузки CL, пФ

- -  -  - - -

60 40  40  10 15 30




ПРИЛОЖЕНИЕ Б - Задание на курсовой проект.

ЗАДАНИЕ НА КУРСОВОЙ ПРОЕКТ

ПРОЕКТИРОВАНИЕ МОДУЛЯ ЗУ

Студент: Чеботарёв М. В. Группа А-07-12

Исходные данные

Емкость ЗУ 32К × 36

Тип БИС ЗУ, его организация и 

время выборки адреса t(A)A КР556PT18,

слов 8 разрядов,

t (A)A ≤60нс

Серия микросхем в узлах управления74F

Шины адреса и данныхраздельная

Входные управляющие сигналы MS, MR

Время действия сигналов на шине адреса, tCY, 4

на входе MS

Контроль по модулю 2присутствует

Температура окружающей среды от 0 до +40 ºС

Временные диаграммы входных и выходных сигналов модуля ЗУ в режиме считывания показаны на рис. Б.1.

Рис. Б.1 - Временные диаграммы входных и выходных сигналов модуля ЗУ

ПРИЛОЖЕНИЕ В - Перечень оборудования модуля ЗУ


Похожие работы на - Проектирование модуля запоминающего устройства

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!