Проектирование операционных устройств с микропрограммным управлением

  • Вид работы:
    Курсовая работа (т)
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    369,55 Кб
  • Опубликовано:
    2014-09-14
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Проектирование операционных устройств с микропрограммным управлением

Содержание

Введение

. Аналитический раздел

.1 Анализ оборудования

.2 Постановка задачи проектирования

.3 Сравнительный анализ

. Конструкторский раздел

.1 Построение функциональной модели

.2 Построение структурной схемы        

.3 Выбор программного обеспечения

. Проектный раздел

.1 Проектирование основных компонентов блока Cnt_ADC

.2 Проектирование управляющего автомата Avt_ADC

.3Тестирование программного описания автомата 

.4 Разработка общей структуры блока Cnt_ADC

.5 Проектирование общей схемы сборки проекта из отдельных фрагментов      

. Экономический раздел

.1 Исходные данные для проекта 

.2 Нормы затрат на проектирование и внедрения микропроцессорной системы

.3 Расчет эксплуатационных расходов

.4 Расчет и построение диаграммы общей стоимости системы

.5 Расчет прибыли разработчика системы

.6 Расчет затрат, связанных с покупкой, внедрением и использованием системы и их окупаемости

. Раздел безопасности и жизнедеятельности и охраны труда

.1 Организация рабочего места

.2 Рекомендации по организации труда и отдыха

.3 Параметры микроклимата

.4 Вентиляция и отопление

.5 Организация освещения

.6 Расчет освещения в помещении

.7 Расчет искусственного освещения

.8 Нормирование шума и вибрации

.9 Электробезопасность и пожаробезопасность

.10 Статическое электричество и молниезащита

.11 Электромагнитное излучение 

Заключение

Список используемой литературы

Введение

Роль и значение компьютеров в современной жизни общеизвестны. Аппаратные методы решения задач также важны и в ряде случаев незаменимы. Многие приложения, такие как моделирование динамических объектов, управление движением (особенно управление движением совокупности объектов), обработка сигналов и изображений в реальном времени, реалистичная компьютерная графика, связаны с многократным выполнением сравнительно простых операций, причем требуют выполнения до нескольких миллиардов операций в секунду.

Последовательное выполнение большого числа элементарных шагов при компьютерном решении задачи занимает относительно большое время. Кроме того, независимость аппаратных средств от сложности решаемой задачи имеет и оборотную сторону - даже для простейших задач нужны блоки, образующие компьютер в целом. Таким образом, простые задачи и задачи, которые должны решаться в реальном масштабе времени, могут привести к необходимости применения аппаратных вариантов решения.

Для создания аппаратных средств решения задач нужен набор различных элементов, узлов и устройств. Такой набор можно реализовать на микросхемах разного уровня интеграции: малого (МИС), среднего (СИС), большого (БИС) и сверхбольшого (СБИС). Применение БИС/СБИС и исключение из схемы МИС и СИС существенно улучшает параметры аппаратуры (стоимость, быстродействие, надежность, экономичность по потребляемой мощности и др.).

Для некоторых разновидностей СБИС программируемой логики возможна реконфигурация в оперативном режиме, т. е. без извлечения микросхемы из работающей системы и с высокой скоростью. Оперативное программирование микросхем - путь для новых принципов построения аппаратуры.

В связи с этим тема дипломного проекта «Проектирование операционных устройств с микропрограммным управлением» является актуальной.

Цель дипломного проекта: на примере разработки микропроцессорной системы модернизировать более раннюю разработку устройства на базе микроконтроллера MCS-48, предназначенного для увлажнения дыхательной смеси.

1. Аналитический раздел

Под операционным устройством понимают вычислительный узел, способный многократно выполнять любое преобразование из набора, предусмотренного для этого узла, каждый раз, когда на него поступает сигнал, инициирующий преобразование (команда). Часто реализация команды требует последовательного выполнения нескольких шагов. Это может быть связано с тем, что на некоторых шагах используются результаты, полученные на предыдущих шагах, или с тем, что данные поступают, а результаты должны выдаваться в определенной последовательности, или с наличием ограничений на затраты оборудования.

При высоких требованиях к производительности элементарные действия стараются распределять между несколькими параллельно работающими блоками, причем для алгоритмов, предусматривающих использование результатов некоторого шага на последующих шагах, применяют конвейерную реализацию. Однако при умеренных требованиях по производительности с целью уменьшения объема оборудования однотипные операции выполняют последовательно в одном и том же блоке, даже если операции функционально независимы.

Часто становится оправданной частичная перестройка функций операционных блоков в процессе исполнения команды. Элементарное действие в этом случае называют микрооперацией. Несколько одновременно выполняемых действий объединяются микрокомандой. Последовательность микрокоманд, исполняемых в процессе реализации команды, называют микропрограммой.

Языки проектирования дискретных устройств, позволяют описать любой алгоритм в последовательной форме, т. е. через последовательность операторов присваивания и принятия решений. Однако более удобный и чаще используемый подход к проектированию операционных блоков вычислительных устройств заключается в разделении устройства на два блока - устройство управления и операционный блок. При этом в операционном блоке выполняются преобразования данных, а устройство управления обеспечивает необходимую последовательность микроопераций, выполняемых в операционном блоке, передавая на входы операционного блока управляющие сигналы (микрокоманды). Последовательность действий, а значит управляющих сигналов, зависит как от результатов выполнения операций в операционном блоке, так и от внешних сигналов.

Управляющий блок удобно описывать в форме конечного автомата того или иного типа. Операционный блок обычно представляют как набор регистров, логических блоков, буферных схем, а также коммутируемых связей между ними.

.1 Анализ оборудования

В данном дипломном проекте рассматривается проектная процедура ПЛИС типа SOPC реализованная на базе микроконтроллера MCS-48.

В состав разрабатываемой системы должен входить 12 -разрядный аналого-цифровой преобразователь, записывающий по запросу параллельный код в буферное ОЗУ емкостью 256 двенадцатиразрядных слов, 8 входов и 8 выходов, для дискретных сигналов и блок сравнения двух входных восьмиразрядных кодов с содержимым регистра установок, которое задается программным обеспечением МП-ядра и сохраняет значения текущих установок. Использование схем класса СИС и МИС должно быть в модернизированном варианте минимизировано за счет применения схем программируемой логики.

На начальном этапе проектирования необходимо разделить функции между программным обеспечением, опирающимся на стандартные компоненты микроконтроллерной части системы, и специфическим аппаратным обеспечением.

Для размещения программного обеспечения требуется 16 Кбайт памяти. Стандартное для всех МП-систем оборудование.

.2 Постановка задачи проектирования

В рамках данного дипломного проекта при анализе возможных вариантов реализации МП -ядра ограничиться рассмотрением вариантов, базирующихся на MCS -51, поскольку при этом упрощается процедура перевода большинства решений MCS -48 на MCS -51. В качестве элементов программируемой логики будем ориентироваться на продукцию фирмы Altera. Это допустимо, поскольку проектируемый прибор не должен обладать каким-либо исключительными свойствами - малым потреблением мощности, обеспечением секретности разработки (наличием битов секретности), требованием радиационной стойкости и, соответственно, ориентации на ПЛИС с пережигаемыми перемычками.

.3 Сравнительный анализ

Реализация проекта возможна в различных вариантах. В соответствии с заданием анализироваться будут варианты, имеющие в качестве МП-ядра тот или иной вариант контроллера MCS -51.

К основным можно отнести следующие варианты реализации:

) использование обычного контроллера семейства MCS -51, автономных средств аналого-цифровой обработки и размещение всей дискретной части проекта в ПЛИС (для конкретности будем ориентироваться на ПЛИС фирмы Altera);

) использование БИС класса SOPC generic фирмы Altera и мегафункции фирмы CAST для реализации МП -ядра;

) использование микроконтроллера, совместимого по системе команд с MCS -51 со встроенными средствами аналого-цифровой обработки (для определенности будем ориентироваться на применение БИС ADuC812 фирмы Analog Devices), и реализация недостающих дискретных элементов в ПЛИС фирмы Altera;

) использование БИС класса SOPC фирмы Triscend семейства Е5, содержащей в качестве МП -ядра встроенный микроконтроллер 8032 и конфигурируемую логику типа FPGA, и реализация внешнего аналого -цифрового преобразования (для определенности протокола будем ориентироваться на применение БИС AD7892 фирмы Analog Devices).

Первый вариант реализации (рисунок 1) предполагает использование в качестве МП -ядра классической микросхемы MCS -51 (одну из БИС фирмы Atmel) и применение БИС ПЛ фирмы Altera для реализации недостающих дискретных компонентов. Необходимый объем памяти команд требует использования БИС семейства AT87F55 или АТ89С55 либо применения внешней памяти команд (EEPROM Insraction), что в свою очередь предполагает включение в состав ПЛИС специального регистра, защелкивающего старшие разряды адреса, - Reg_BD. Требуемые объем и скорость заполнения буферной памяти заставляют при выборе типа ПЛИС ориентироваться на семейства, содержащие встроенные блоки ОЗУ - т. е. типа FLEX10K. Последнее заставляет включить в состав устройства специальное загрузочное ПЗУ (память конфигурации ПЛИС). Суммарные затраты на комплектацию устройства составят сумму в несколько десятков долларов. Конструктивно (как видно из рисунка) система должна содержать 5 или 6 корпусов ИС.

Рисунок 1- Вариант реализации проекта на БИС МК фирмы Atmel и БИС ПЛ фирмы Altera

Второй вариант реализации имеет укрупненную функциональную схему, соответствующую приведенной на рисунке 2. Основу проекта составляет ПЛИС класса SOPC generic, конфигурация которой включает МП -ядро (на базе стандартной мегафункции) и требуемую дополнительную логику: выходной регистр Reg_D , регистр формирования адреса памяти команд Rеg_BD, входные регистры Reg_A, Reg_B и Reg_C, буфурное ОЗУ (RAM) и автоматы, управляющие работой ADC и ОЗУ (Avt_RAM). Помимо БИС ПЛ схема содержит дополнительные элементы: ИС времязадающего генератора (OSC), ИС ПЗУ конфигурации (EEPROM config), ИС ПЗУ команд (EEPROM Instuction), ИС аналогового коммутатора (MUX) и ИС АЦ преобразователя (ADC). Поскольку мегафункция микроконтроллера в этом варианте реализации требует (в зависимости от скоростных требований) от 2400 до 2860 логических ячеек, то для воплощения проекта понадобится БИС ПЛ класса не ниже 10К50.

Рисунок 2 - Вариант реализации проекта на БИС ПЛ класса SOPC generic

Третий вариант реализации (рисунок 3) является самым экономичным по числу требуемых для реализации системы числа микросхем. Основу схемы образуют две БИС (БИС ADuC812 фирмы Analog Devices и БИС ПЛ фирмы Altera). БИС ADuC812 фирмы Analog Devices разработчики отнесли к классу микропреобразователей (MicroConverter), поскольку она содержит на одном кристалле микроконтроллер, память, АЦП и ЦАП. Для реализации устройства дополнительно потребуется одна БИС конфигурационного ПЗУ (EPROM) и одна ИС времязадающего генератора (OSC).

Рисунок 3 - Вариант реализации проекта на БИС ADuC812 и BИC ПЛ EPX10K10

Структура устройства, конфигурируемого в БИС ПЛ, сохраняет элементы предыдущих вариантов. Стоимость БИС класса ADuC812 фирмы Analog Devices не превышает 15 долларов, но и стоимость БИС ЕРХ10К10 фирмы Altera чуть больше 10 долларов. Приобретение средств, сопровождающих разработку, может потребовать затрат порядка 100 долларов.

Последний вариант рисунок 4, опирающийся на продукцию фирмы Triscend, потребует для своей реализации, помимо БИС семейства Е5, четырех схем СИС (организация БИС семейства Е5 позволяет объединить в одной БИС ПЗУ память команд МК и память конфигурации БИС ПЛ - EEPROM). Блоки, реализуемые конфигурируемой системной логикой (CSL) кристалла Е5, функционально совпадают с блоками, размещенными в БИС ПЛ предыдущего варианта.

Рисунок 4- Вариант реализации проекта на БИС ПЛ класса SOPC фирмы Triscend E5

Стоимость БИС семейства в зависимости от тактовой частоты и количества конфигурируемых ячеек системной логики может колебаться от 10 до 100 долларов. Сформулированные требования повлекут за собой использование ИС с логическими ресурсами, характерными для БИС типа ТЕ5002, что значительно удорожит проект до 170 долларов. Основным достоинством последнего варианта, помимо минимизации числа корпусов ИС, является высокая надежность продукции, простота отладки опытной партии и легкость контроля конечной продукции при серийном изготовлении. Именно это и позволяет на нем остановиться. Дополнительными факторами, определяющими целесообразность реализации проекта на кристаллах типа SOPC (в примере - БИС фирмы Triscend), является возможность совершенствования и модернизации проекта, включая полное изменение аппаратной и программной начинки кристалла (т. е., практически, реализацию других проектов), без каких -либо конструктивных изменений.

2. Конструкторский раздел

.1 Построение функциональной модели

Функции между SW и HW к стандартным для всех МП-систем оборудование соответствуют рисунку 5. Необходимость аппаратной реализации сравнения содержимого регистра установок с данными каналов В и С, так же как аппаратная поддержка режима записи данных в блок памяти (RAM) и управление работой аналого-цифрового преобразователя (ADC) связаны со скоростными требованиями к обработке соответствующих данных. На рисунке требуемые блоки управления имеют названия C n t _ c m p , c n t _ A D C и будут использоваться в дальнейшем описании.

Рисунок 5 - Функциональная схема аппаратно реализуемых фрагментов проекта

Для этого этапа проектирования характерно (как минимум, предварительное) согласование внешних и внутренних (между SW и HW) интерфейсных функций разрабатываемой системы. В нашем примере сигналом, инициирующим запись блока данных (128 слов) в память, является сигнал start, а квитирующим сигналом, определяющим допустимость начала нового цикла записи, является нулевое значение сигнала Busy. Запись в память должна производиться в последовательности: старшие 8 разрядов результата преобразования, младшие 4 разряда того же результата. О завершении записи блока данных программное обеспечение МП-ядра должно быть проинформировано выставлением сигнала прерывания int.

.2 Построение структурной схемы

Блочная схема устройства приведена на рисунке 6. На рисунке 6 опущены соединения БИС SOPC с загрузочным ПЗУ и схемой тактового генератора. Элементы структуры должны опираться на ресурсы программируемой логики кристалла Е5 (CSL -логики). Функциональное назначение блоков следует из их названий. Схема укрупненно отображает следующие процессы.

Блок Cnt_Adc отвечает за управление отдельными циклами преобразования и запись одиночных данных от аналого-цифрового преобразователя в промежуточном регистре. Блок обеспечивает прием блока данных заданной длины и формирует сигналы, требуемые для записи принимаемых от АЦП данных в ОЗУ МП.

Блок Cnt_cmp обеспечивает процедуру сравнения сигналов, поступающих в порты МП А и В, и формирует необходимые внешние сигналы управления "больше", "меньше" или "равно". Выбор рабочего канала определяется входным сигналом В/С.

Следующим шагом является обоснованный выбор средств, при помощи которых будут проектироваться отдельные фрагменты проекта. Как правило, специфические характеристики, свойственные проектируемым фрагментам, позволяют определить рациональность применения того или иного проектного средства. Для данного проекта, в аппаратной части Е5 можно выбрать ряд блоков, реализация которых целесообразна с привлечением различных средств. операционный микроконтроллер схема

Для реализации блока управления приемом информации от аналого-цифрового преобразователя (блок cnt_Adc) будем ориентироваться на возможности, предоставляемые компилятором Synplify фирмы Synplicity. Окончательным результатом работы компилятора при этом будет импортируемый модуль на языке EDIF. Поскольку в качестве входной информации для Synplify должен использоваться текстовый файл на языке VHDL, то для подготовки текстового описания этого блока будут применяться программные пакеты фирмы Mentor Graphic. Представляется следующая последовательность работ: графический ввод и составление тестовой процедуры Test-Bench с использованием САПР HDL Designer, а затем верификация полученного VHDL -описания с помощью САПР Model Technology.

Рисунок 6 - Блок-схема устройства, реализуемого программируемой CSL-логикой кристалла Е5

.3 Выбор программного обеспечения

Важное значение в реализации проекта имеет принятое решение об привлекаемых средствах проектирования. На этапах проектирования практически всегда приходится обращаться к базовой САПР фирмы-производителя кристалла SOPC. Для выбранного типа БИС SOPC семейства Е5 фирмы Triscend необходимо ориентироваться на САПР под названием FastChip. Допустимые для проектирования в САПР FastChip направления проектного потока приведены на рисунке 7.

Рисунок 7 - Проектный поток в FastChip

Существование альтернативных вариантов выполнения проектного потока связано с возможностью или необходимостью привлечения на определенных ветвях проектирования САПР сторонних фирм. Так как в состав FastChip не включены компиляторы для МК типа 8051, то подготовка программной части проекта обязательно требует привлечения каких -либо систем проектирования, ориентированных на разработку программного обеспечения для MCS -51. Формально, проектирование может выполняться на любой системе проектирования, но наилучшая стыковка с FastChip выполняется для небольшого числа систем проектирования. Наилучшее и гарантированное согласование обеспечивается при использовании инструментальных средств разработки фирмы Keil Software (www.keil.com <#"803580.files/image008.gif">

Рисунок 8 - Структура блока Cnt_ADC

3.2 Проектирование управляющего автомата Avt_ADC

Возможный алгоритм работы автомата Avt_Aoc блока cnt_ADС, отвечающий протоколу, необходимому для правильной работы БИС AD7892 фирмы Analog Devices, может иметь вид, соответствующий схеме переходов автомата, приведенной на рисунке 9. Схема переходов при помощи графического редактора пакета HDL Designer Series фирмы Mentor Graphics была занесена в соответствующий диаграммный файл.

Автомата Avt_ADC, управляющего считыванием данных из АЦП во временный регистр Reg_Buf и записью этих данных в память МП, и поддерживающего для этих обменов требуемое взаимодействие квитирующих сигналов.

Основу алгоритма образует циклическая последовательность смены состояний, определяющая выполнение 128 циклов обрабатываемого блока.

Исходно (по сигналу Rst и по завершении цикла записи блока данных) автомат находится в состоянии IDLE_ST. В этом состоянии автомат формирует сигнал Busy, сигнализирующий о готовности к приему блока данных от АЦП, и сигнал Res_count, обнуляющий счетчик числа циклов записи count, и продолжает находиться в состоянии IDLE_ST до появления сигнала start, который приводит к переходу автомата в последующее состояние START_ST. В этом состоянии формируется сигнал запуска процедуры преобразования: сигнал nconvst устанавливается в 0.

В состоянии WAIT1_ST автомат остается до тех пор, пока не поступит сигнал готовности данных от ADC - сигнал nЕОС не станет равным нулю.

В состоянии LOAD_ST формируются сигнал квитирования nRD для АЦП, сигнал запуска записи данных Load от ADC в промежуточный регистр и сигнал запроса DMА_Req к контроллеру ПДП. Одновременно с запросом подготавливается передача в МП старшего байта данных из регистра, для чего формируется сигнал ОЕ.

В состоянии WАIТ2_sт формируется сигнал ОЕ. В этом состоянии автомат остается до тех пор, пока не появится сигнал ACK_DMA, подтверждающий готовность МП к приему данных по каналу ПДП. По этому сигналу выходные данные Reg_Buf1, находящиеся на выходе мультиплексора, переписываются в ОЗУ.

В состоянии DMA_ST формируются новый сигнал запроса Req _ DMA к контроллеру ПДП и подготавливается передача в МП младших 4 -х разрядов данных из регистра Reg_Buf2, для чего снимается сигнал ОЕ.

Автомат безусловно переходит из состояния DMA_ST в состояние WAIT3_ST. В этом состоянии он остается до тех пор, пока не появится сигнал ACK_DMA, подтверждающий готовность МП к приему данных по каналу ПДП.

В состоянии ENDTR_ST анализируется сигнал End_Count. Если сигнал End_count равен 1, что соответствует завершающему 128 циклу приема оцифрованных данных от АЦП, то автомат переводится в начальное состояние IDLE_ST, в противном случае автомат переходит в состояние START_ST, запускающее новый цикл преобразования.

Рисунок 8 - Граф-схема переходов автомата управления Avt_ADC

Для автомата с помощью программы пакета HDL Designer Series фирмы Mentor Graphics была выполнена трансляция диаграммы.

hds header_startheader_end LIBRARY ieee;ieee.std_logic_l164.all; USE ieee.std_logic_ariht.all;Avt_ADC IS(Clk, Start, Rst, nEOC, Ack_DMA, End_Count : in std_logic; nConvSt, Busy, Load, Req_DMA, OE, nRD, Res_Count: out std_logic); END Avt ADC;interface_end LIBRARY ieee;ieee.std_logic_1164.all; USE ieee.std_logic_ariht. all;fsm of Avt_ADC IS

Architecture Declarationsstate_values IS (IDLE_ST, START_ST, WAIT1_ST, LOAD_ST, WAIT2_ST, DMA_ST, WAIT3_ST, ENDTR_ST,);current_state, next_state: state_values; BEGIN: process (Clk, Rst) BEGINRst = '1' THEN_state <= IDLE_ST; - Reset Values ELSIF rising_edge(Clk) then_state <= next_state; - Default Assignment To Internals END IF; END PROCESS cloced;: PROCESS (current_state, Start, nEOC, Ack_DMA, End_Count) BEGIN

         Defaults Assignment<= '1';<= ' 1'; Load <= '0'; ReqDMA <= '0'; OE <= '0'; nRD <= '0'; Res_Count <= '0';

         Combined Actionscurrent_state ISIDLE_ST =><= '0';_count <= '1';Start = '1' THEN_state <= START_ST;IF; WHEN START_ST =><= '0';_state <= WAIT1_ST; WHEN WAIT1 ST =>nEOC = 'О' THEN_state <= LOAD_ST; END IF; WHEN LOAD_ST => Load <= '1'; nRD <= '0'; Req_DMA <= '1'; OE <= '1';_state <= WAIT2_ST; WHEN WAIT2_ST => OE <= '1'; IF Ack_DMA = '1' THEN_state <= DMA_ST; END IF; WHEN DMA_ST =>_DMA <= '1'; next_state <= WAIT3_ST; WHEN WAIT3_ST =>Ack_DMA = '1' THEN_state <= ENDTR_ST; END IF; WHEN ENDTR_ST =>End_Count = '1' THEN_state <= IDLE_ST; ELSE_state <= START_ST; END IF; WHEN OTHERS =>_state <= IDLE_ST; END CASE; END PROCESS nextstate; - Concurrent Statements END fsm;

3.3Тестирование программного описания автомата

Для проверки правильности составления и работоспособности автоматов необходимо проведение тестовых испытаний. Возможны разные подходы к организации тестирования. Наибольшее распространение получили следующие варианты:

) программа САПР, создающая текстовое описание автомата (такая как, например, программа StateCAD), проверяет наличие комбинаций входных сигналов, соответствующих неопределенному направлению перехода автомата, и поэтому требует формирования полного перечня входных сигналов, однозначно определяющих направления переходов.

) САПР может обеспечивать так называемый режим анимации. В этом режиме САПР последовательно проходит по всем состояниям автомата. Переход в очередное активное состояние осуществляется путем установки желаемых значений входных сигналов. Таким образом, в интерактивном режиме разработчик может проверить все нужные состояния, переходы и значения выходных переменных, меняя на каждом шаге значения входных переменных.

В общем случае проверка работоспособности автомата (созданного любым способом) может осуществляться на основании традиционных методов создания тестирующих программ (Test -Bench). Современные САПР упрощают этот подход, предлагая автоматическое создание тестового блока Test -Bench. Возможности автоматизации изменяются в достаточно широких пределах: от автоматического формирования только интерфейса блока Test -Bench до автоматического формирования последовательности входных сигналов, обеспечивающей перебор всех возможных состояний автомата. Для рассматриваемого примера проектирования было целесообразно ориентироваться на возможности пакета HDL Designer в части формирования тестирующих программ Test -Bench. Поэтому пакет был запущен на создание Test-Bench и автоматически сформировал ENTITY для тестирующей программы. Для заполнения архитектурного тела можно использовать любые редакторы, входящие в состав HDL Designer, например графический редактор описания потоков Flow Chart или редактор таблиц истинности Truth Table.

3.4 Разработка общей структуры блока Cnt_ADC

Описание блока Cnt_ADC может, ориентироваться на различные возможности и средства описания, предоставляемые САПР HDL Designer. Наиболее компактным и быстрым способом спецификации блока является создание его текстового описания. Для демонстрации возможностей САПР HDL Designer воспользуемся структурным редактором САПР и будем ориентироваться на использование элементов стандартных библиотек пакета. Структурная схема блока cnt_ADC приведена на рисунке 9. При ее составлении использовались стандартные элементы библиотеки HDL Designer ModuleWare:

два одиночных D -триггера adff;

четырехразрядный банк триггеров df f;

восьмиразрядный банк триггеров dff;

восьмиразрядный счетчик cntr;

один восьмиразрядный мультиплексор Omux2;

четырехразрядная константа нуля.

Необходимость подключения ко входу мультиплексора четырехразрядной константы нуля диктуется требованием выравнивания разрядностей, записываемых по каналу данных ПДП.

В состав блока включен и ранее разработанный и протестированный модуль автомата Avt_Adc.

Рисунок 9 - Структурная схема блока управления Cnt_ADC

.5 Проектирование общей схемы сборки проекта из отдельных фрагментов

На верхнем уровне иерархии для реализации рассматриваемого устройства рисунок 10 из библиотеки элементов САПР FastChip можно использовать следующий набор библиотечных настраиваемых модулей:

         Три группы восьмиразрядных элементов (в результате образующих традиционные входные порты МП -ядра):

входные контакты - Inp_A, Inp_B, Inp_c;

входные буферные регистры RD - Reg_A, Reg_B , Reg_c;

адресные селекторы - AdrSel_A, AdrSel_B, AdrSel__C.

         Две группы восьмиразрядных элементов (в результате образующих традиционные выходные порты МП -ядра):

адресные селекторы - Adrsel_D,

выходныее регистры - Reg_D, Reg_U

Для организации ввода данных в ОЗУ по линии ПДП требуется использование входного буфера Reg _ DMA и связанного с ним селектора адреса DMA.

Кроме библиотечных модулей в схему необходимо импортировать файлы EDIF, определяющие конструкцию блоков Cnt _ ADC и Cnt_cmp. Для подключения управляющих сигналов к входам автомата Cnt_ADC используется модуль входных контактов - Inp_cnt.

Понятие параметризированных модулей соответствует возможности настроить выбранный библиотечный элемент на определенный режим функционирования, на определенную разрядность данных, их полярность и т. д.

Построение проекта в САПР Triscend FastChip состоит в образовании требуемой схемы из используемых в проекте модулей путем описания соединения модулей между собой. Модули будут соединены друг с другом, если к их выводам приписать цепи (net) с одинаковыми именами. Схема соединений непосредственно не отображается на экране, поэтому необходимо следить за правильностью соединений.

После определения структуры аппаратной части проекта (ресурсов CSL) разработчик задает расположение внешних контактов аппаратной части системы по периметру кристалла.

Следующий шаг проектной процедуры связан с проверкой корректности построения конфигурируемой части проекта. САПР проверяет правила создания проекта и выдает предупреждения или сообщения об ошибках. Проектировщику сообщаются имена неподключенных цепей или цепей, имеющих несколько источников сигналов, и т. д.

После проверки корректности САПР может быть запущена на выполнение процедуры распределения логических ресурсов по кристаллу и образования системы их соединений. Результатом этой процедуры является создание файла

конфигурации программируемой логики кристалла. Теперь САПР готова в любой момент времени загрузить созданную конфигурацию в кристалл. Встроенные в кристалл Е5 средства внутрикристальной отладки создают уникальные возможности для настройки аппаратных решений.

4. Экономический раздел

.1 Исходные данные для проекта

Таблица 4.1 - Исходные данные к расчету показателей микропроцессорной системы

Наименование показателей

Единица измерения

Значение показателей

1

Время на проектирование и создание, инженера, Вр

дней.

21

2

Время на проектирование и создание, програмист, Вр

дней

21

3

Среднемесячный оклад программиста, Оп

Тыс. руб.

15000

4

Среднемесячный оклад ведущего инженера, Ои

Тыс. руб

16500

5

Первоначальная стоимость ПК

руб

38650

6

Коэффициент накладных расходов, Кн


0,02

7

Коэффициент отчислений на в фонды, Кф


0,3

8

Стоимость 1 кВт электроэнергии, Цэл

Руб.

3,17

9

Установленная мощность оборудования, N

кВт

0,42

10

Годовая норма амортизационных отчислений, На

%

20

11

Стоимость оборудования, Соб

руб

5780

12

Стоимость САПР

руб

24581

13

Время ПК, Т

ч

1820


Определим нормы затрат:

норма дополнительной заработной платы Ндоп- 10% ;

норма премий П- 20%;

норма транспортных расходов - 3%;

норма амортизации А- 20%;

норма затрат на техническое обслуживание - 7%;

норма затрат на расходные материалы - 2%;

мощность, потребляемая вычислительной техникой N (0,52 кВт/ч):

компьютера - 0,42 кВт/ч.;

принтера, плоттера и других устройств- 0,1 кВт/ч.;

действительный фонд времени работы оборудования - 1820 ч.

норма накладных расходов - 60%;

цена 1 кВт электроэнергии - Цэ 3,17. руб.

.2 Нормы затрат на проектирование и внедрения микропроцессорной системы

Затраты на проектирование и внедрению будут определяться выплатами заработной платы исполнителям работ с отчислениями в фонды, а также стоимостью работы используемого оборудования (персонального компьютера, на котором производится проектирование системы). Проектирование системы ведется с использованием ПК, поэтому затраты можно определить по следующему выражению:

 (4.1)

где  - основная заработная плата исполнителей работ, руб.;

 - дополнительная заработная плата исполнителей работ, руб.;

 - отчисления в фонды, руб.;

 - время работы используемого для проектирования компьютерного оборудования, ч.;

 - стоимость машино-часа работы используемого оборудования, руб./ч.

Основная заработная плата исполнителей работ определяется выражением:

 (4.2)

где О1,O2 - оклады разработчиков, руб.;

Tдн1 , Tдн2 - трудоемкость дней разработчиков

П = 20% - норма премии.

Определим заработную плату:

 40950руб.

Определим дополнительную заработную плату исполнителей работ:

, (4.3)

где - норма дополнительной заработной платы (обычно 10%);

Дополнительная заработная плата составит:

ЗП доп = 4095 руб

ЗП=ЗПосн+ЗПдоп,                         (4.4)

ЗП=45045 руб ….

Определим отчисления в фонды:

   (4.5)

где  = 30% - норма отчислений в фонды;

Отчисления в фонды будут составлять:

О сн = 13513,5 руб

Стоимость машино-часа работы оборудования определяется выражением:

 (4.6)

где  - затраты на заработную плату обслуживающего персонала, руб.;

 - годовые амортизационные отчисления, руб.;

 - затраты на техническое обслуживание за год, руб.;

 - затраты на расходные материалы, руб.;

 - затраты на электроэнергию за год, руб.;

 - годовые накладные расходы, руб.;

 - действительный фонд времени работы оборудования, ч.

Определим годовые амортизационные отчисления для используемого оборудования:

 (4.7)

где  = 20% - норма амортизации;

 - первоначальная стоимость используемого оборудования,38650 руб

В проекте используются два персональных компьютера

Годовые амортизационные отчисления составят:

А = 15460 руб,

Определим годовые затраты на техническое обслуживание используемого оборудования:

 (4.8)

где = 7% - норма затрат на техническое обслуживание.

З то = 5411руб,

Определим годовые затраты на расходные материалы:

 (4.9)

где  = 2% - норма затрат на расходные материалы;

З рм = 1546

Определим годовые затраты на электроэнергию используемого оборудования:

  (4.10)

где N - мощность оборудования;

Fд - действительный фонд времени работы оборудования;

 - цена электроэнергии, руб./кВт.;

 - коэффициент, учитывающий потери в сети  = 0,98;

Зэ = 6064,6,

Определим годовые накладные расходы используемого оборудования:

 (4.11)

З нр = 2702 руб

Определим время работы используемого оборудования. Инженер и программист выполняет проектирование с использованием ПК в течение 2 месяцев, но не более всего времени проектирования.

об=336

Тогда по найденным значениям вычислим стоимость машино-часа работы используемого оборудования:

С = 304,81

Исходя из рассчитанных значений вычислим суммарные затраты на проектирование и внедрения системы :

77742,1 руб.

Затраты на транспортировку определяются выражением:

 (4.15)

где  - норма транспортных расходов (3%) , руб.

Зтр=81,06. руб.

.3 Расчет эксплуатационных расходов

Годовые текущие затраты при эксплуатации системы будут состоять только из затрат на хранение и обновление программного обеспечения, так как другие эксплуатационные расходы остаются прежними: потребление электроэнергии эквивалентной по мощности, расходы на обслуживание, расходы на содержание и ремонт производственных помещений. Поэтому эксплуатационные расходы будут определяться выражением:

 (4.17)

где  - годовая норма расходов на хранение и обновление программного обеспечения ( 20% от стоимости внедрения).

Зэкс=24581*0,2=4916,2 руб.

.4 Расчет и построение диаграммы общей стоимости системы

На осуществление и реализацию технического проекта необходимыми статьями затрат являются затраты на разработку и внедрение системы и эксплуатационные расходы.

Затраты на проектирование и внедрение равны 82658,3 руб, эксплуатационные - 4916,2 руб.

Суммарные затраты будут составлять общую стоимость спроектированной системы без учета рентабельности, потому как система проектируется для собственных нужд при использовании взамен базовых устройств. Полную стоимость можно определить выражением:

            (4.18)

Подставляя известные значения, найдем:

С = 82658,3 руб.

Покажем на диаграмме статьи затрат, составляющие общую стоимость системы.

Рисунок 4.1 - Диаграмма общей стоимости системы

.5 Расчет прибыли разработчика системы

Размер прибыли определяется по формуле 4.19.

Пр= С ´ Ур, (4.19)

где Ур - уровень рентабельности проекта (выбираем сами желательный 15-30%).

Пр=12398,74 руб.,

Цена реализации единичного проекта определяется по формуле 4.20.

Цр=С+ С ´ НДС+ Пр , (4.20)

где НДС - налог на добавленную стоимость <http://baza-referat.ru/%D0%9D%D0%B0%D0%BB%D0%BE%D0%B3_%D0%BD%D0%B0_%D0%B4%D0%BE%D0%B1%D0%B0%D0%B2%D0%BB%D0%B5%D0%BD%D0%BD%D1%83%D1%8E_%D1%81%D1%82%D0%BE%D0%B8%D0%BC%D0%BE%D1%81%D1%82%D1%8C> (18%).

Цр=14878,49 руб.

.6 Расчет затрат, связанных с покупкой, внедрением и использованием системы и их окупаемости

Предприятие, покупающее новую систему, несет затраты не только по покупке системы, но и по организации новых рабочих мест. Оно должно иметь экономический эффект от ее внедрения в виде экономии денег за вычетом всех расходов, повышения качества своей работы и за счет этого расширения рынка сбыта своей продукции или увеличения объемов продаж и т.д. Рентабельность проекта определяет, через какой срок предприятие окупит затраты и начнет получать выгоду от приобретения системы. Рассчитаем эти показатели.

Капитальные затраты покупателя на приобретение и внедрение системы определяются по формуле 4.21.

К = Цр+Ккрм+Ктех+Кпр , (4.21)

где Ккрм - капитальные вложения <http://baza-referat.ru/%D0%9A%D0%B0%D0%BF%D0%B8%D1%82%D0%B0%D0%BB%D1%8C%D0%BD%D1%8B%D0%B5_%D0%B2%D0%BB%D0%BE%D0%B6%D0%B5%D0%BD%D0%B8%D1%8F> на создание новых рабочих мест персонала, обслуживающих новую систему;

Ктех - капитальные вложения <http://baza-referat.ru/%D0%9A%D0%B0%D0%BF%D0%B8%D1%82%D0%B0%D0%BB%D1%8C%D0%BD%D1%8B%D0%B5_%D0%B2%D0%BB%D0%BE%D0%B6%D0%B5%D0%BD%D0%B8%D1%8F> на техническое оснащение рабочего места пользователя;

Кпр - прочие капитальные вложения, связанные с внедрением (5% от Цр).

Обслуживание и эксплуатацию новой системы будет осуществлять системный администратор. (должность, тип найма) с заработной платой 15000. руб/мес. Таким образом затраты покупателя на покупку, установку и внедрение составят

Ккрм = ЗПнов´ 12´ (1+Кпр+Кдоп+ Ксн),                         (4.22)

Ккрм =0.руб.

Ктех = КПК+Кпринтера+Кстола+Кстула+Кпроч,            (4.23)

Ктех =30361 руб.

Кпр =Цр´ 5%,                                         (4.24)

Кпр =743,9… руб.

К = 31104,9.руб.

Срок окупаемости затрат на приобретение и внедрение проекта рассчитывается по формуле (4.25).

Ток=К / В, (4.25)

где В - годовая выгода от внедрения системы (выражена в экономии на годовой заработной плате сокращенных сотрудников, вместо которых теперь работает компьютерная система Взп=0., в увеличении прибыли от роста продаж и повышения цены более качественной продукции Впр=5780., В =Взп+Впр= 5780рублей в год).

Ток = 5.лет.

Таким образом:

проведены расчеты, связанные с проектированием, построением и продажей со стороны организации-разработчика, и приобретением и эксплуатацией со стороны организации-покупателя системы, разработчиком создана система, соответствующая требованиям заказчика;

затраты на создание системы равны 82658,3 руб.;

разработчиком получена и распределена прибыль от реализации проекта, составляющая 12398,74 руб;

заказчик понесет капитальные затраты на покупку и внедрение системы, равные 31104,9. руб.;

срок окупаемости проекта 5 лет.

Экономическая выгода основана на выбранном методе проектирования, в котором применяется минимальное количество корпусов ИС. Так же можно отметить высокую надежность продукции, простоту отладки опытной партии и легкость контроля конечной продукции при серийном изготовлении.

Дополнительными факторами, определяющими целесообразность реализации проекта на кристаллах типа SOPC (в примере - БИС фирмы Triscend), является возможность совершенствования и модернизации проекта, включая полное изменение аппаратной и программной начинки кристалла, без каких-либо конструктивных изменений.

5. Раздел безопасности и жизнедеятельности и охраны труда

Использование ПЭВМ изменяет характер и условия работы человека, ее содержание, расширяет возможности для более быстрого решения многих возникающих задач. Вместе с тем, работа на компьютере сопровождается необходимостью особой активизации внимания и других высших психических функций, напряжения органа зрения. Поэтому компьютер при длительном использовании и не соблюдении соответствующих требований безопасности труда и возможный источник вредного воздействия на организм человека.

В связи с этим представляется актуальным рассмотрение неблагоприятных факторов при работе с ПЭВМ, воздействия их на органы и системы человека, допустимой степени нагрузки при регулярных видах работы, мер профилактики, направленных на создание безопасных условий труда лиц, использующих в своей деятельности компьютерную технику.

Помещение, в котором производиться работа на компьютерах относится к административному помещению. Все работы выполняются при помощи ЭВМ, поэтому в этом разделе описаны требования к рабочим местам ЭВМ.

.1 Организация рабочего места

Под рабочим местом понимается положение тела при работе, расположение экрана монитора относительно глаз, клавиатуры, поза специалиста.

Рабочее место должно быть таким, чтобы в течение рабочего дня оператор мог изменить своё положение. В общем случае, рабочее место должно обеспечивать возможность занять следующие два крайних положения.

Первое с выпрямленной спиной, экран монитора находится ниже уровня глаз под углом порядка 200 при расстояние от экрана до глаз 50-70 см. Второе положение, откинувшись, при котором центр экрана находится чуть ниже уровня глаз. В обоих положениях клавиатура и мышь должны быть расположены так, чтобы за ними не нужно было тянуться или высоко держать руки при работе. Угол между кистями и плечом при этом порядка 80 - 950.


.2 Рекомендации по организации труда и отдыха

В Российской Федерации правила пользования дисплеями и безопасные условия труда на ВДТ и ПЭВМ регламентирует документ «Гигиенические требования к видео дисплейным терминалам, персональным электронно-вычислительным машинам и организации труда» (санитарные правила и нормы СанПиН 2.2.2.542-96).

Санитарными правилами установлены требования к помещениям для эксплуатации ВДТ и ПЭВМ. При выборе помещения следует исходить из того, что объем на одно рабочее место для взрослых с ВДТ и ПЭВМ- не менее 20 м3.

Категория тяжести работ, выполняемых на ЭВМ, относится к первой (I), группа трудовой деятельности - А (считывание информации с экрана, но не более 20000 знаков).

Работа за компьютером связана со зрительными нагрузками, нагрузками на спину, плечи, поясницу, область шеи, в результате чего могут развиться различные виды недомоганий:

головная боль;

резь в глазах, быстрая утомляемость;

боль в спине, плечах, в области шеи и т.д.

Поэтому должен соблюдаться режим работы с обязательными перерывами. Наиболее предпочтителен такой режим: 45 минут работы, 15 минут перерыв. При этом в течение восьми часового рабочего дня общее время пребывания за компьютером не должно превышать 5-6 часов. Во время перерывов оператор может выполнять работу не связанную с напряжением зрения.

Для уменьшения зрительной нагрузки рекомендуется:

принудительное частое моргание;

отвлечение внимания через определённые промежутки времени на другой объект;

сокращение длительности зрительной работы за счёт изготовления твёрдых копий документов и работы уже с ними.

.3 Параметры микроклимата

Одним из условий здорового и высокопроизводительного труда является обеспечение чистоты воздуха и нормальных метеорологических условий в рабочих помещениях.

Метеорологические условия для рабочей зоны производственного помещения регламентируется ГОСТ 12.1.005-88 «Воздух рабочей зоны». Этот ГОСТ устанавливает оптимальные условия и допустимые климатические условия в зависимости от характера производственного помещения, времени года и категории выполняемой работы. Помещение, в котором производилась разработка программного обеспечения, относится к лаборатории. В соответствии с ПУЭ помещение лаборатории относится к I классу без повышенной опасности (сухие, беспыльные помещения с нормальной температурой воздуха и изолирующими деревянными полами).

Категория работ - 1д - энергозатраты 121-150 ккал/ч (140-174 Вт). К категории 1д относятся работы, производимые сидя, стоя или связанные с ходьбой и сопровождающиеся некоторым физическим напряжением [7]. Группа трудовой деятельности - А (считывание информации с экрана, но не более 20000 знаков).

Таблица 10 - Оптимальные нормы микроклимата

Период года

Температура, °С

Относительная влажность, %

Скорость движения, м/с

Холодный

21-23

40-60

0,1

Теплый

22-24

40-60

0,2


.4 Вентиляция и отопление

Для поддержания заданных значений температуры и влажности в лабораторном помещении применяются кондиционирование и вентиляция. Кондиционирование воздуха должно обеспечивать автоматическое поддержание параметров микроклимата в необходимых пределах в течении всех сезонов года, очистку воздуха от пыли и вредных веществ, создание небольшого избыточного давления в чистых помещениях для исключения поступления неочищенного воздуха. Рекомендуемая интенсивность вентиляции для помещений с ЭВМ составляет 0,5-1 куб. м. свежего воздуха в минуту на каждый квадратный метр пола.

Помимо этого воздух подается и удаляется из помещения через форточки, окна, открываемые без всякой системы [8].

Лабораторное помещение оборудовано системой центрального отопления, что соответствует СанПин 2.2.2.542-96 "Гигиенические требования к видеодисплейным терминалам, персональным электронно-вычислительным машинам, организации работы: Санитарные правила и нормы"

5.5 Организация освещения

Экран монитора - источник света. Поэтому необходимо организовать освещение и расположить его так, чтобы в поле зрения оператора не было других более ярких источников света, а также освещённость экрана не увеличилась за счет какого-то постороннего источника света, например лампы на потолке или солнечного света.

Более яркий источник света в поле зрения оператора будет слепить его. Для прочтения информации с экрана необходимо больше напрягать зрение. Повышенная освещённость экрана размывает изображение оригинала на сетчатке глаз.

Во избежание всего этого необходимо:

Располагать монитор экраном перпендикулярно к окну.

Помещение должно иметь шторы способные задержать прямой солнечный свет.

При невозможности исключить блики на экране монитора его перестановкой необходимо применение защитных фильтров, позволяющих исключить отражение света и, кроме того, увеличить контрастность изображения.

Уровень освещённости помещения должен лежать в диапазоне 210 -540 лк, освещённость на рабочем месте оператора 400 лк.

Яркость монитора должна быть примерно в 5 раз выше яркости окружающих его поверхностей, могущих попадать в поле зрение оператора.

При наличии в помещении других рабочих мест, не использующих ЭВМ, необходимо использовать комбинированное освещение этого помещения.

5.6 Расчет освещения в помещении

Согласно СНиП 23-05-95 «Естественное и искусственное освещение» данное помещение по характеру зрительных работ относится к 4-му разряду:

средняя точность;

размер объектов различения 0,5-1 мм [9].

Для освещения помещения используется как естественный, так и искусственный свет.

Расчет производится согласно СНиП 23-05-95. Помещение имеет размеры: длина - 5 м; ширина - 4 м; высота - 3,5м.

Освещение боковое, одностороннее, выделение пыли и других аэрозолей с концентрацией не более 5 мг/м3, остекление вертикальное, рамы пластиковые с двойным стеклопакетом.

Определим необходимую площадь световых проемов.

Площадь пола помещения S = 20 м2.

Нормальный коэффициент естественного освещения:

[pic]н = е · m · с, (9)

Где, е = 1,5 - значение КЕО в % при рассеянном свете от небосвода, определяемое с учетом точности работ, вида освещения и географического расположения;= 1 - коэффициент светового климата;

с = 1 - коэффициент солнечного климата.

[pic]н = 1,5 ·1·1 = 1,5 [КЕО]

Характеристика фона - светлый. Контраст объекта различения с фоном - средний. Местоположение города относится к III световому поясу.

В кабинете одно окно размером 2,8x2,2 м, площадь световых проемов составляет 98,8 м2, что соответствует расчетам естественного освещения помещения.

.7 Расчет искусственного освещения

Расчет производится согласно СНиП 23.05-95. Лаборатория освещена искусственным светом. Он создается светильником ПВЛМ - подвесной пылеводозащищенный светильник с люминесцентными лампами ЛД - 40 (в светильнике 2 лампы). Помещение по задачам зрительной работы относится к 1 группе [9].

Индекс помещения:

= Sп / (h · (A+B)),        (10)

где, Sn - площадь пола в помещении [м2];- высота помещения [м];

A, B - длина и ширина [м].

= 20 / (3,5 · (25 + 20)) = 0,12

Принимаем:

Е = 300- нормированная освещенность [лк];= 1,5 - коэффициент запаса;= 2390- световой поток лампы;[лм].

Для рассчитанного индекса помещения находим по таблице коэффициент использования светового потока [pic]=0.47.

Коэффициент отражения стен - 50%.

Отношение средней освещенности к минимальной:= Eср / Emin = 1,1

Найдем требуемое количество ламп:

N= E Sп · Z k / F ·[pic] = (0,12 · 20 · 1.1 · 1.5) / (2390 · 0.47) = 8 шт.

Количество светильников 4 штук по 2 лампы в каждом. Освещенность соответствует норме.

.8 Нормирование шума и вибрации

Уровень шума и уровень вибрации нормируется на рабочих местах согласно ГОСТу 12.1.003-83 с дополнениями 1991 г. и СН 3223-85 "Санитарные нормы допустимых уровней шума на рабочих местах". В помещении лаборатории уровень звукового давления (50 дБ) не превышает допустимого значения [10]. Источники вибрации отсутствуют.

При закрытом кожухе системного блока источник шума на рабочем месте оператора ЭВМ - это работающий принтер. Уровень шумности зависит от типа принтера. Как правило, в работе пользователя и программиста необходимость печати возникает не часто, однако лучше применять более поздние лазерные или струйные принтеры, шумность которых значительно ниже.

Так как уровень шума не превышает допустимого значения уровня звука (80 дБ), то в данной комнате применять какие-либо меры по его снижению нет необходимости [11]

.9 Электробезопасность и пожаробезопасность

Кабинет отдела по работе с клиентами относится к I классу помещений без повышенной опасности. Средства защиты обслуживающего персонала:

защитное заземление;

изоляция токоведущих частей;

электрическое разделение сетей [12].

Электробезопасность достигается применением защитных кожухов делающих невозможным касание токоведущих частей. При этом недопустимо включение питания и работа при снятом кожухе.

По пожарной безопасности помещение отдела относится, согласно НПБ-105-95, к категории Д [13]. Согласно СНиП 21-01-97 степень огнестойкости П [14]. В виду того, что в помещении находятся электроустановки, в случае возникновения пожара тушение производить огнетушителем типа ОУ-7, предназначенным для тушения электроустановок. При загорании электропроводки необходимо немедленно отключить электропитание и тушить провода либо песком, либо накрыв одеялом (тканью).

Основная причин пожара, перегрев частей ЭВМ: монитора, устройств внутри системного блока, из-за ограничения притока к ним охлаждающего воздуха. Во избежание этого недопустимо класть какие-либо предметы на монитор, располагать его и системный блок вблизи стенок или предметов, затрудняющих приток к ним воздуха.

.10 Статическое электричество и молниезащита

Во время работы ПЭВМ на поверхности корпуса и монитора происходит скопление зарядов статического электричества. Основным способом, устраняющим опасность статического электричества, является заземление оборудования и приборов.

Здание относится к 3 категории по молниезащите (отсутствуют пожаро и взрывоопасные зоны), поэтому в качестве молниеприемников используются металлическая кровля и металлическая сетка [15].

5.11 Электромагнитное излучение

Согласно ГОСТ 12.1.006-84 "Электромагнитные поля радиочастот", напряженность электромагнитного поля по электрической составляющей на расстоянии 50 см от поверхности монитора не превышает допустимого значения 10В/м. Напряженность электромагнитного поля для взрослого пользователя не превышает 20кВ/м.

Площадь на одно рабочее место с ЭВМ (персональная электронно-вычислительная машина) составляет более 6,0 м2. Расстояние между рабочими столами с видеомониторами более 2,0 м, а расстояние между боковыми поверхностями видеомониторов более 1,2 м. Высота рабочего стола порядка 700 мм.

Заключение

Цель поставленная в дипломном проекте на примере разработки микропроцессорной системы модернизировать более раннюю разработку устройства на базе микроконтроллера MCS-48, предназначенного для увлажнения дыхательной смеси достигнута.

В аналитической части данного дипломного проекта рассмотрены основные варианты реализации МП -ядра. И был выбран вариант использование БИС класса SOPC фирмы Triscend семейства Е5, содержащей в качестве МП -ядра встроенный микроконтроллер 8032 и конфигурируемую логику типа FPGA. В качестве средств проектирование было выбрано САПР под названием FastChip.

В технологической части разработано проектирование основных компонентов блока Cnt_ADC, проектирование управляющего автомата Avt_ADC, проведено тестирование управляющего автомата, разработана общая структура блока Cnt_ADC. И составлен проект общей схемы сборки из отдельных фрагментов.

В экономическом разделе рассчитаны нормы затрат на проектирование и внедрения микропроцессорной системы, произведен расчет эксплуатационных расходов, построена диаграмма общей стоимости системы, и сделаны выводы по экономической эффективности проекта.

В разделе охрана труда и безопасность жизнедеятельности я осветил вопросы по организация рабочего места, дал рекомендации по организации труда и отдыха, определил параметры микроклимата, произвел расчет искусственного освещения.

Список используемой литературы

Балашов Е.П., Григорьев В.Л., Петров Г.А. Микро- и миниЭВМ: Учеб.пособие для вузов. - Л.: Энергоатомиздат, 2010. - 376 с.

Вигдорчик Г.В., Воробьев А.Ю., Праченко В.Д. Программирование на Ассемблере для СМ ЭВМ. - М.: Финансы и статистика, 2009 - 248 с.

Гук М. СПб: Питер,2011. - 224 с.-Процессоры Intel: от 8086 до Pentium II

Каган Б.М. Электронные вычислительные машины и системы: Учеб.пособие для вузов. - М.: Энергоатомиздат, 2011. - 552 с.

Копейкин М.В., Пашкин В.Я., Спиридонов В.В. Л.: СЗПИ, 2012. - 84 с.-Управление ЭВМ: Учеб.пособие.

Майоров Г.И. Структура электронных вычислительных машин. - М.: Машиностроение, 2013. - 384 с.

Мурога С288 с.- М.: Мир, 2011.-. Системное проектирование сверхбольших интегральных схем: В 2-х кн. Кн.1 / Пер. с англ.

Николаев В.И., Брук В.М. Л.: Машиностроение, 2009. - 288 с.-Системотехника: методы и приложения.

Программирование на языке Ассемблера ЕС ЭВМ / З.С.Брич, В.И.Воюш, Г.С.Дегтярева, Э.В.Ковалевич. - М.: Статистика, 2009. - 296 с.

Спиридонов В.В. СПб.: СЗПИ, 2009. - 84 с.-Проектирование структур АЛУ: Учеб.пособие.

Похожие работы на - Проектирование операционных устройств с микропрограммным управлением

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!