Оценка конструкторских и технологических параметров системы многослойных металлических межсоединений при разработке БИС

  • Вид работы:
    Курсовая работа (т)
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    173,51 Кб
  • Опубликовано:
    2014-03-31
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Оценка конструкторских и технологических параметров системы многослойных металлических межсоединений при разработке БИС

Содержание

1. Введение.

. Исходные данные.

. Расчетная часть.

. Технологическая часть.

. Анализ выполненных расчетов.

. Заключение.

Список литературы.

. Введение

Транзистор - одно из важнейших изобретений ХХ столетия, повлекшее за собой появление полупроводниковых приборов и микросхем. Эти устройства стали основой электронных систем и привели к проникновению электроники во все важнейшие для жизнедеятельности человека отрасли - энергетику, транспорт, связь, здравоохранение. Развитие микроэлектроники невозможно без постоянного совершенствования научного понимания свойств полупроводниковых материалов и приборов, а также технологических процессов, необходимых для изготовления современных изделий. И даже неискушенный потребитель не может не оценить "изобретательность" полупроводниковой промышленности. Возникают ли задачи масштабирования приборов и микросхем вплоть до нанометровых размеров, или увеличения производительности технологических операций, или ввода бизнес-модели предприятия, позволяющей успешно компенсировать высокие затраты на научно-исследовательские и опытно-конструкторские работы, полупроводниковая промышленность из года в год успешно находит их решение.

Электронная промышленность - стратегически важнейшая современная отрасль во всех странах мира. На ее долю приходится ~3,5% мирового ВВП. Развитие этой отрасли невозможно без совершенствования ее элементной базы, основа которой - современные полупроводниковые изделия. Производители полупроводниковых приборов постоянно увеличивают капитальные затраты на развитие полупроводниковой промышленности, совершенствование технологии и оборудования, требуемых для производства будущих поколений приборов. В качестве примера развития технологии и темпов обновления оборудования можно привести завод Building 323 фирмы IBM в Ист-Фишкилле, который был отмечен традиционной ежегодной премией журнала Semiconductor International как лучший завод 2005 года. Благодаря усовершенствованной АСУП производство микросхем на заводе не зависит от человеческого фактора. На заводе функционируют 12 междисциплинарных рабочих групп, каждая из которых отвечает за одну из ключевых платформ технология/оборудование. Каждая группа анализирует все составляющие потерь курируемой платформы и разрабатывает планы повышения производительности. В результате деятельности этих групп и эффективных методов управления технологическими процессами время цикла обработки сокращено более чем на 50% при увеличении производительности на 59%. Специалисты предприятия освоили, аттестовали и нарастили производство разнообразных изделий с проектными нормами 180, 130, 90 и 65 нм.

Упрощение сложности новых производственных процессов играет решающую роль в обеспечении жизнеспособности будущих технологий микроэлектроники. Но из-за резкого роста затрат на освоение новой технологии микросхем по мере их масштабирования решение все нарастающих проблем полупроводниковой промышленности в первую очередь требует объединения усилий и финансов различных производителей (в том числе и различных отраслей промышленности). Пример такого объединения - образованный компанией IBM альянс Common Platform (совместная платформа). В его состав вошли компании IBM, Chartered Semiconductor Manufacturing и Samsung Electronics, а также партнеры по альянсу совместных разработок - Infineon Technologies и Freescale Semiconductor. Недавно к альянсу присоединилась фирма STMicroelectronics. Цель компаний, входящих в платформу, - освоить к 2010 году 32-нм КМОП-технологию с использованием затворного диэлектрика с высокой диэлектрической постоянной (высоким k), металлического затвора, напряженного кремния и диэлектрика со сверхнизким k при формировании межсоединений. Кроме того, планируется освоить второе поколение установок иммерсионной литографии, создать высококачественные аналоговые блоки цифровых средств связи и отработать технологию ВЧ КМОП-схем и встроенных ДОЗУ.

Концерн BASF также присоединился к программе европейского Межуниверситетского Центра Микроэлектроники (Interuniversity Microectronics Center - IMEC), цель которой - разработка и выпуск на рынок к 2010 году моющих растворов и усовершенствованных металлических слоев для полупроводникового производства микросхем с 32-нм топологическими нормами.

В 2003 году в начале освоения промышленного производства микросхем с проектными нормами 90 нм существовало лишь несколько производственных линий по обработке пластин диаметром 300 мм. Но к концу 2005 года уже около 30 заводов работали с пластинами такого диаметра. Производительность их составляла 10 тыс.-30 тыс. пластин в месяц.

В последнее время активно обсуждается вопрос перехода к обработке пластин диаметром 450 мм. Согласно Международной программе развития технологии полупроводниковых приборов (International Technology Roadmap for Semiconductors - ITRS) 2005 года, обработка 450-мм пластин должна начаться в 2012-2014 годы. Чтобы обеспечить выполнение этого плана, на конференции Semicon West 2007 Международная производственная инициатива, предложенная консорциумом Sematech (International Sematech Manufacturing Initiaitve - ISMI), представила новую научно-исследовательскую программу перехода к производству микросхем на 450-мм пластинах - 450mm. Цель ее - гарантировать эксплуатационную готовность 450-мм кремниевых пластин; обеспечить разработку общих руководящих документов и стандартов 450-мм завода; подготовить опытный испытательный стенд для тестирования 450-мм оборудования, в том числе и таких элементов и устройств, как кристаллодержатели, загрузочные порты, модули и др. Новая программа 450mm не отменяет ранее принятый ISMI проект 300mmPrime (300P), нацеленный на повышение производительности производства и сокращение длительности рабочего цикла 300-мм оборудования. Обе программы проводятся параллельно и предусматривают обеспечение совместимости установок двух типов. Большая часть мер, направленных на сокращение длительности рабочего цикла, которые разрабатываются по программе 300mmPrime, как ожидается, будут полезны и для 450-мм производства. Программа 300P - ступенька для перехода к обработке 450-мм пластин, позволяющая снизить риски такого перехода. Конечные цели ISMI: снижение на 30% стоимости производства в пересчете на единицу площади пластины и сокращение длительности цикла на 50%.

Компании-поставщики полупроводникового технологического оборудования, которые только приходят в себя после затруднений, связанных с переходом промышленности к работе с 300-мм пластинами, заявляют, что новый переход к пластинам большего диаметра может разорить их. Опасения поставщиков оборудования основаны на опыте перехода к 300-мм пластинам, когда стоимость НИОКР по разработке оборудования возросла в девять раз по сравнению с затратами на создание 200-мм установок, а вместо прогнозировавшегося высокого спроса на новое оборудование неожиданно наступил резкий застой. Обещанный объем инвестиций так и остался на бумаге, а поставщики оказались практически один на один со всеми проблемами, связанными с разработкой и внедрением весьма дорогостоящего оборудования. Если издержки производства промышленных установок для обработки 450-мм пластин также увеличатся в девять раз, затраты производителей на выпуск такого оборудования превысят 100 млрд. долл. Маловероятно, что они смогут осилить разработку такого оборудования, даже если удастся снизить затраты до 20 млрд. долл. Разработка 450-мм оборудования потребует решения многих технических проблем, таких как увеличение толщины пластин, устранение их коробления и возникновение дефектов в результате термообработки. К тому же, многие поставщики технологического оборудования - это малые и средние фирмы с ограниченным бюджетом, которые не в состоянии окупить разработку 450-мм установок.

Серьезной проблемой является и получение прибыли на инвестированный капитал. Считается, что полупроводниковой промышленности потребуется 30 лет для того, чтобы окупить колоссальные инвестиции в освоение производства микросхем на 300-мм пластинах. По мнению специалистов компании Applied Materials, срок окупаемости 450-мм технологии может превысить срок жизни предприятия. Поэтому отношение к переходу к 450-мм пластинам очень неоднозначное. Наиболее активными сторонниками скорейшего внедрения новой технологии являются представители так называемой "большой четверки" производителей микросхем - Intel, Samsung Electronics, Toshiba и Taiwan Semiconductor Manufacturing Co. (TSMC). По итогам третьего квартала 2007 года, объем продаж этих компаний на рынке полупроводниковых приборов составил 21 млрд. долл., или 80% общего объема продаж в 35 млрд. долл. Правда, лишь Intel, Samsung и, возможно, TSMC объявили, что готовы начать строительство 450-мм заводов в соответствии с планом ITRS. О возможности перехода к 450-мм технологии заявили фирмы IBM, Chartered, Powerchip, Qimonda, Promos, Elpida, Nanya, UMC, Micron, SMIC. По оценкам компании VLSI Research, переход к следующему поколению пластин может состояться не ранее 2020-2025 годов. Ряд изготовителей полупроводникового оборудования и приборов во главе с компанией Applied Materials, по мнению которой повышение эффективности существующих технологий более рационально с точки зрения снижения затрат, чем экстенсивное увеличение площади пластин, и вовсе сомневаются в целесообразности такого перехода, считая, что пластины диаметром 300 мм - конечный вариант пластин большого размера.

Несмотря на повышенное внимание, уделяемое проблемам освоения 450-мм производства, продолжается ввод в строй заводов по обработке как 300-мм, так и 200-мм пластин. По данным исследовательской компании The Information Network, в 2007 году в мире должны были запустить девять новых 300-мм заводов и еще 17 заводов - в 2008 году. Увеличат свои производственные мощности в 2008 году 55 заводов по обработке 300-мм пластин. В результате число ежемесячно поступающих на обработку пластин (2,1 млн.) увеличится на 680 тыс., т.е. производительность 300-мм заводов возрастет на 32%. Согласно оценкам Международной промышленной полупроводниковой ассоциации SEMI, в результате появления 26 новых заводов по обработке 300-мм пластин в мире будут действовать 73 таких предприятия, и их общая производительность к концу 2008 года превысит 6,2 млн. пластин в год. Затраты на строительство 300-мм завода в 2008 году, по прогнозам SEMI, возрастут на 40% и достигнут рекордного уровня в 10 млрд. долл. Наибольшие суммы на строительство 300-мм заводов отчисляют компании Тайваня и Японии - 30 и 20% от общих мировых затрат, соответственно. Третье место занимает Китай - 16%. Вполне возможно, что в эру 450-мм пластин сохранится большое число заводов по обработке 300-мм пластин.

. Исходные данные

Минимальный размер элемента d, мкм                              0,5

Число элементов N, шт                                                                 7·105

Напряжение питания UDD, В                                               2,2

Толщина подзатворного диэлектрика tox, Å                               100

Площадь кристалла Sкр, мм2                                                         290

Число контактных площадок на чипе nкп, шт                     1500

Размер контактных площадок Sкп, мкм2                             452

Шаг контактных площадок, мкм                                        80

.1 Дополнительные данные для расчёта

Диэлектрическая проницаемость SiO2 εi , Ф/м                   3,9

Диэлектрическая проницаемость Si ε     , Ф/м                             11,9

Диэлектрическая постоянная ε0 , Ф/м                                 8,85·10-12

Концентрация свободных носителей n, см-3                       1,5·10-10

Подвижность свободных носителей μn, м2/c·В                   0,15

. Расчётная часть

.1. Выполнение условия сильного электрического поля в канале МОП транзистора

Критерий сильного поля

 

где  - скорость звука

 

Напряжённость в канале:

 

 

 

Условия сильного поля в канале выполняются, следовательно, скорость электронов в канале принимается равной скорости насыщения.

.2 Время пролета носителей в канале МОП транзистора

Для сильных полей используется формула:

 

Для кремния при комнатной температуре

 

.3 Выбор сечения полоски металлизации

ширина полоски                            

расстояние между полосками                

шаг металлизации                                   

толщина полоски металлизации            

Сечение полоски металлизации:

 

.4 Определение критического значения тока, протекающего через полоску металлизации в случае, если её материалом выбран алюминий

 

.5 Расчёт сопротивления открытого транзистора с целью дальнейшего определения тока, протекающего через транзистор и усредненную полоску металлизации, для возможности выбора материала металлизации

Сопротивление рассчитывается по формуле:

 

где

        подвижность свободных носителей для кремния

             удельная ёмкость подзатворного диэлектрика

                            толщина подзатворного диэлектрика

    диэлектрическая проницаемость вакуума

                          диэлектрическая проницаемость SiO2

Для расчёта примем

                                   отношение ширины канала к длине канала

       разность между напряжением затвор-исток транзистора и пороговым напряжением


 

.6 Расчёт тока в цепи открытого транзистора

Сопротивление нагрузочного транзистора берётся на порядок больше, чем сопротивление управляющего транзистора

 ,

 

Ток в цепи открытого транзистора

 

Таким образом:

 

 

В данном случае необходимо повысить порог критической плотности тока путём применения другого проводника вместо алюминиевого (например, медного, т.к. применение легирования алюминия медью или кремнием 0,5 - 1% не даст нужного результата). Тогда порог критической плотности тока, вызывающего явление электромиграции, повысится на порядок и составит:

 

.7 Расчёт площади, занимаемой межсоединениями кристалла

При оптимальном размещении логических элементов:

 

 

электрический поле межсоединение транзистор

 

Принимая условно магистральный способ передачи информации между элементами для получения минимального числа линий связи:

 

где  - число линий связи в магистрали.

Для простоты можно считать, что .

 

.8 Оценка числа слоев металлизации, в которых может быть реализована расчётная топология соединений

 

где  - число слоев,

 - эффективная площадь кристалла.

 

 

 

 

Полученное количество слоев металлизации больше допустимого. Поэтому попробуем уменьшение шага трасс металлизации. Примем .

Тогда

 и

 

При этом:                    

 

 

Площадь сечения металлизации уменьшается на коэффициент , а плотность тока увеличивается на этот же коэффициент. Получаем

 

что укладывается в заданные параметры.

Десятый слой остаётся свободным, на котором можно разместить контактные площадки и часть разводки, для разгрузки нижних слоёв, с большим шагом.

.9 Определение средней длины связи при оптимальном размещении элементов на кристалле

 

 

 

 

.10 Определение общей длины межсоединений

 

.11 Определение сопротивления пленочного проводника длиной

 

 

.12 Расчёт сопротивления межслойной перемычки

 

где  - удельное сопротивление вольфрама,

 - глубина перемычки.

 

.13 Расчёт взаимоиндуктивности

 

 

.14 Расчёт паразитных емкостей межсоединений

 

где .

 

 

 

.15 Расчёт общей паразитной емкости

 

 

.16 Расчет общего эквивалентного сопротивления


 

.17 Эквивалентное время задержки на паразитных RC-цепях

 

 

.18 Эквивалентное время задержки на паразитной индуктивности

 

 

.19 Сравнение задержек

 ,  ,

Наибольшее значение имеет время задержки на паразитной индуктивности.

. Технологическая часть

Технологические этапы производства самих микропроцессоров, формирование микросхем в простейшем случае включает следующие обязательные этапы производства:

выращивание кремниевых заготовок и получение из них пластин;

шлифование кремниевых пластин;

нанесение защитной пленки диэлектрика (SiO2);

нанесение фоторезиста;

литографический процесс;

травление;

диффузия;

металлизация.


Все перечисленные этапы используются для того, чтобы создать на кремниевой подложке сложную структуру полупроводниковых планарных транзисторов (CMOS-транзисторов) и связать их должным образом между собой. Количество таких транзисторов на одной микросхеме уже сегодня составляет миллиард штук, а через несколько лет их число достигнет уже нескольких миллиардов штук.

После тщательной полировки поверхности кремниевой подложки ее покрывают тончайшим слоем оксидной пленки (SiO2), выполняющей функцию диэлектрика и защитной пленки при дальнейшей обработке кристалла кремния. Диоксид кремния получают путем окисления непосредственно чистым кислородом при высоких температуре и давлении. Пластины помещают в камеру, где при высоких температуре и давлении происходит диффузия кислорода в поверхностные слои пластины, приводящая к окислению кремния и к образованию поверхностной пленки диоксида кремния. Для того чтобы пленка диоксида кремния имела точно заданную толщину и не содержала дефектов, необходимо поддерживать строго постоянную температуру во всех точках пластины в процессе окисления. После того как кремниевая подложка покроется защитной пленкой диоксида кремния, необходимо удалить эту пленку с тех мест, которые будут подвергаться дальнейшей обработке. Удаление пленки осуществляется посредством травления, а для того, чтобы в результате травления оксидная пленка удалялась избирательно, то есть только в нужных местах, на поверхность пленки наносят слой фоторезиста. Фоторезист представляет собой особый состав, который изменяет свои свойства под воздействием ультрафиолетового излучения. Облученные области становятся растворимыми в кислотной среде, тогда как необлученные остаются устойчивыми к воздействию агрессивных сред. Процесс нанесения фоторезиста и его дальнейшее облучение ультрафиолетом по заданному рисунку называется литографией. Перед нанесением слоя фоторезиста на подложку последняя подвергается предварительной обработке, в результате чего улучшается ее сцепление со слоем фоторезиста. Для засветки нужных участков слоя фоторезиста используется специальный шаблон-маска. Фактически такой шаблон содержит рисунок одного из слоев будущей микросхемы. Ультрафиолетовое излучение, проходя сквозь такой шаблон, засвечивает только нужные участки поверхности слоя фоторезиста. После облучения фоторезист подвергается проявлению, в результате которого удаляются ненужные участки слоя. При этом открывается соответствующая часть слоя диоксида кремния. По мере экспоненциального возрастания плотности размещения транзисторов, формируемых в кристалле, усложняется и литографический процесс. Дело в том, что процесс уменьшения геометрических размеров транзисторов сопровождается и уменьшением размеров линий, наносимых на слой фоторезиста. Минимальная толщина линии, получаемая в процессе литографии, определяется размером пятна, в который удается сфокусировать лазерный луч. Помимо прочих факторов размер пятна фокусировки зависит от длины волны, используемой в облучении фоторезиста. Чем меньше длина волны, тем более тонкие линии можно получить на поверхности фоторезиста. Именно поэтому при производстве современных микропроцессоров для облучения используют ультрафиолетовое, а не видимое излучение (длина волны видимого света больше длины волны ультрафиолетового излучения). В настоящее время для производства микросхем по 130-нанометровому технологическому процессу используется глубокое ультрафиолетовое излучение (Deep UltraViolet, DUV) с длиной волны 248 нм. На подходе литографический процесс с длиной волны 13 нм, получивший название ЕU\/-литографии (Extreme UltraViolet - сверхжесткое ультрафиолетовое излучение). Если применяемая сейчас литографическая технология позволяет наносить шаблон с минимальной шириной проводников 100 нм, то EUV-литография делает возможной печать линий гораздо меньшей ширины - до 30 нм. После засвечивания слоя фоторезиста приходит очередь этапа травления (etching) с целью удаления пленки диоксида кремния. При производстве процессоров используется сухой метод травления, также называемый плазменным. Такой метод позволяет точно контролировать процесс травления, а разрушение вытравливаемого слоя происходит здесь в строго вертикальном направлении. При использовании сухого травления для удаления с поверхности пластины диоксида кремния применяется ионизированный газ (плазма). Газ вступает в реакцию с поверхностью диоксида кремния, в результате чего образуются летучие побочные продукты. После процедуры травления, то есть когда оголены нужные области чистого кремния, удаляется оставшаяся часть фотослоя. Таким образом, на кремниевой подложке остается рисунок, выполненный диоксидом кремния. Напомним, что предыдущий процесс формирования необходимого рисунка на кремниевой подложке необходим для создания в нужных местах полупроводниковых структур путем внедрения донорной или акцепторной примеси. Процесс внедрения примесей осуществляется посредством диффузии. Диффузия - это равномерное внедрение атомов примеси в кристаллическую решетку кремния. Для процесса диффузии легирующей примеси применяется ионная имплантация. Процесс имплантации заключается в том, что ионы нужной примеси "выстреливаются" из высоковольтного ускорителя и, обладая достаточной энергией, проникают в поверхностные слои кремния. Этап ионной имплантации завершается созданием необходимого слоя полупроводниковой структуры. Именно в этом первом слое и сосредоточены десятки миллионов крошечных транзисторов. Далее необходимо в нужной последовательности соединить их между собой проводниками. Если принять во внимание, что каждый транзистор имеет сток, исток, затвор и что каждый из этих электродов должен быть соединен проводником с другими компонентами, то совершенно очевидным становится огромное количество требуемых соединений. Осуществить требуемую разводку в пределах того же слоя, где расположены сами транзисторы, просто нереально - неизбежны перекрещения между проводниками. Даже обычные материнские платы для соединения всех микросхем друг с другом используют несколько слоев (в настоящее время используются 8-слойные платы). В каждом таком слое проводники не перекрещиваются друг с другом. Аналогично решается задача и в микросхемах: для соединения транзисторов друг с другом применяют несколько слоев металлизации, то есть слоев с металлическими проводниками, причем чем больше транзисторов насчитывается в микросхеме, тем больше слоев металлизации используется. Для соединения транзисторов друг с другом прежде всего необходимо создать проводящие контакты стоков, истоков и затворов. Для этого, как описано выше, по маске в нужных местах вытравливается слой диоксида кремния и соответствующие окна заполняются атомами металла. Для создания очередного слоя на полученном рисунке схемы выращивается дополнительный тонкий слой диоксида кремния. После этого наносятся слой проводящего металла и еще один слой фоторезиста. Ультрафиолетовое излучение пропускается сквозь вторую маску и высвечивает соответствующий рисунок на фоторезисте. Затем опять следуют этапы растворения фоторезиста и травления металла. В результате в новом слое образуются нужные проводящие полоски, напоминающие рельсы, а для межслойных соединений, то есть соединений слоев друг с другом, в слоях оставляются окна, которые затем заполняются атомами металла. К примеру, при использовании 0,25-микронного технологического процесса для осуществления разводки использовались 5 дополнительных слоев. В процессорах AMD с легендарным ядром "К-7" применяют 8-слойные соединения. Новые процессоры AMD Athlon 64 и AMD Opteron также будут 8-слойными. В течение многих лет в качестве металла для внутрислойных соединений повсеместно использовался алюминий. Однако процесс создания многослойных соединений не так прост, как может показаться. Неуклонное уменьшение размеров транзисторов, а следовательно, и размеров контактных проводников требует использования специальных слоев для улучшения электрических характеристик и слоев, предотвращающих процесс диффузии алюминия. Кроме того, необходимо учесть, что алюминий применяется только в качестве внутрислойных соединений, а для межслойных соединений используется вольфрам. Несмотря на то что алюминий применялся в этой области на протяжении многих лет, данный металл имеет ряд недостатков, не позволяющих использовать его при уменьшении размеров транзисторов. Так, если при производстве микросхем по 0,25-микронному технологическому процессу компания AMD применяла алюминий, то при переходе на 0,18-микронную технологию она стала использовать медные соединения. Основное преимущество медных соединений в данном случае заключается в том, что медь обладает меньшей удельной проводимостью по сравнению с алюминием. При уменьшении площади сечения проводников (что неизбежно при уменьшении размера транзисторов) увеличивается и сопротивление проводников. Как следствие - нежелательное повышение энергопотребления. К примеру, при использовании 0,25-микронного технологического процесса линейное сопротивление алюминиевых проводников составит порядка 100-200 Ом/мм, а при переходе на 0,18- и 0,13-микронный технологические процессы оно возрастет до 400-800 Oм/мм. Поэтому возникает проблема замены алюминия на материалы с меньшей удельной проводимостью. Удельное сопротивление алюминия составляет 2,8 мкОммм2/м, а удельное сопротивление меди, в свою очередь, равно 1,7 мкОммм2/м, то есть значительно ниже. Кроме того, по сравнению с алюминием медь обладает рядом других замечательных свойств, повышающих перспективность ее использования в микросхемах. Прежде всего, медные проводники способны выдерживать значительно большую плотность тока, чем алюминиевые, и к тому же обладают более высокой устойчивостью к разрушению под воздействием тока, что позволяет продлить время жизни микросхемы. В результате применения медных соединений также уменьшается время задержки распространения сигналов, что приобретает особую актуальность при повышении тактовых частот. Кроме того, разница в задержках распространения сигналов при использовании медных и алюминиевых соединений возрастает по мере уменьшения геометрических размеров транзисторов. Итак, становится очевидным, что при переходе на новые технологические процессы с меньшей проектной базой необходимо использовать медные соединения. Но возникает резонный вопрос: если медь обладает такими замечательными свойствами и столь привлекательна для производства микросхем, то почему ее не применяли прежде? В частности, наряду с рассмотренными преимуществами медь обладает рядом свойств, создающих немало сложностей в процессе производства микросхем. Дело в том, что медь легко диффундирует в глубь кристалла, что вызывает порчу микросхемы. Поэтому потребовалось приложить немало усилий, чтобы избежать этого нежелательного явления. Кроме того, медь, в отличие от алюминия, плохо поддается травлению, поэтому технологии создания медных и алюминиевых внутрислойных соединений в корне различаются. Так, если в случае использования алюминия травлению по маске подлежит собственно алюминий, то при применении меди травлению подлежит непосредственно оксидная пленка, в результате чего образуются бороздки, которые впоследствии заполняются медью. Эта технология получила название Damascene, то есть узорная инкрустация. Поэтому процесс изготовления микросхем с использованием алюминиевых соединений технологически несовместим с аналогичным процессом с использованием медных соединений. Как мы уже отмечали, компания AMD была первой, которая стала использовать медные соединения при производстве микропроцессоров. Все процессоры AMD, выполненные по 0,18-микронному технологическому процессу и менее, используют медные соединения. Компания Intel также перешла на медные соединения, но несколько позже - при производстве процессоров по 0,13-микронному технологическому процессу. Рассмотрим более подробно процесс создания медных соединений. Создание каждого нового слоя традиционно начинается с получения оксидной пленки, которая покрывается слоем фоторезиста. Далее, посредством литографического процесса, в оксидной пленке вытравливаются бороздки и углубления требуемой формы. Эти бороздки и углубления необходимо заполнить медью. Но прежде, для предотвращения нежелательной диффузии меди, они заполняются тонким слоем антидиффузионного вещества (diffusing barrier). Толщина такой антидиффузионной пленки - всего 10 нм. После этого следует процесс осаждения меди, причем медь осаждается по всей поверхности пластины (wafer). Для осаждения меди используют гальванизацию из раствора медного купороса Cu2SO4, причем сама пластина, на которую осаждаются ионы меди Cu++, выступает в роли катода. При гальванизации необходимо, чтобы медь равномерно осаждалась по всей пластине. Однако добиться этого не так-то просто. Дело в том, что согласно закону Фарадея, определяющему массу осаждающегося в результате гальванизации вещества на катоде, масса выделяющейся меди зависит от силы тока в растворе электролита. Но сила тока, проходящего по электролиту, неодинакова в центре и по краям. Причина тому - сопротивление пластины (wafer), на которую и происходит осаждение меди. Найти разницу между силой тока в центре электролита и по краям можно, используя хорошо знакомый из школьного курса физики закон Кирхгофа. Учитывая, кроме того, что сопротивление электролита равномерно, нетрудно найти разницу между силой тока в центре и по краям электролита: Для того чтобы добиться равномерного осаждения меди по всей поверхности пластины, желательно, чтобы разница между силой тока в центре и по краям электролита была бы как можно меньше. Из полученной формулы видно, что добиться уменьшения DI можно либо путем снижения напряжения источника питания U, либо путем уменьшения сопротивления пластины Rcath, либо путем увеличения сопротивления электролита R2. Изменение напряжения влечет за собой изменение скорости электролиза, что нежелательно. Изменение сопротивления пластины невозможно без изменения ее размеров, что также нежелательно. А вот изменение сопротивления электролита вполне реально. Именно поэтому подбирают такую плотность электролита, чтобы минимизировать разницу тока в центре и по краям и тем самым обеспечить равномерность осаждения меди. При электролизе происходит постепенное заполнение атомами меди вытравленных канавок, в результате чего образуются проводящие рельсы. После заполнения медью канавок лишний слой меди удаляется с пластины посредством шлифования, а затем наносится очередной слой оксидной пленки и проводится формирование следующего слоя. В результате образуется многослойная система. Нижние слои металлизации предназначены для локальной коммутации. В этих слоях критичной является плотность размещения металлических рельс. Верхние слои предназначены для глобальной коммутации. В этих слоях критичным является сопротивление проводников. В заключение отметим, что мы кратко рассмотрели лишь один из аспектов создания микропроцессоров, но многое осталось за рамками статьи. Конечно, использование перспективных многослойных медных соединений - не единственная особенность современных микросхем. Немало усилий прилагается и для усовершенствования самих транзисторов, составляющих основу любой микросхемы. Например, в новом поколении процессоров будут использоваться такие нововведения, как SOI-транзисторы (Silicon On Isolator), в которых за счёт использования дополнительного слоя оксида снижаются емкость и токи утечки, а также транзисторы с двумерными затворами и другие новшества, позволяющие повысить быстродействие транзисторов при одновременном уменьшении их геометрических размеров.

. Анализ выполненных расчетов

В расчётах была произведена оптимизация чипа, а именно уменьшение шага трасс металлизации. При этом появился один «запасной» слой который при дальнейшей разработке позволит разгрузить нижние слои и тем самым ещё больше оптимизировать чип или добавить функционала.

. Заключение

Классические КМОП-транзисторные структуры при уменьшении размеров их элементов приобретают принципиальные физические, технологические и экономические ограничения. По мере приближения уровня КМОП-технологии к таким ограничениям наблюдается либо резкое сокращение темпов уменьшения топологических норм, либо ввод ряда новых технологических элементов, строго говоря, кардинально изменяющих конструкцию транзисторных структур и отодвигающих таким образом принципиальные физические, технологические и экономические ограничения. КМОП-технология при сохранении настоящих темпов развития должна подойти к этому уровню в ближайшее десятилетие. Чтобы не допустить замедления темпов совершенствования микросхем, а следовательно, и снижения экономической эффективности их производства, ведущие специалисты компаний, университетов и научных центров интенсивно исследуют принципиальные ограничения транзисторных структур и возможности их технологической модернизации с целью обхода или продления сроков вступления этих ограничений в силу

Список литературы

http://www.electronics.ru/issue/2008/3/22

<http://apxutektup.narod.ru/prAMD.html>

Зи С. Физика полупроводниковых приборов: В 2-х кн. Пер. с англ. - М.: Мир, 1984, - 456 с

Цветов В.П. Современные методы конструирования и технологии радиоэлектронных средств. Письменные лекции. - СЗТУ, 2005г.

Похожие работы на - Оценка конструкторских и технологических параметров системы многослойных металлических межсоединений при разработке БИС

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!