Последовательный CAN-порт процессора обработки данных

  • Вид работы:
    Дипломная (ВКР)
  • Предмет:
    Информационное обеспечение, программирование
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    387,44 Кб
  • Опубликовано:
    2013-06-01
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Последовательный CAN-порт процессора обработки данных

РЕФЕРАТ

Ключевые слова порт, микроконтроллер, механизм, блок, схема, ошибка, обнаружение, модель, синтез, топология, интеграция.

Объектом разработки выступает порт, спроектированный в соответствии со спецификацией стандарта CAN (Controller Area Network - Распределенная сеть контроллеров) последовательной передачи данных по каналу связи и техническими условиями на данный проект.

Цель работы - разработать последовательный CAN-порт в соответствии с техническими условиями, показать его экономическую эффективность и оценить влияние на окружающую среду.

В качестве вспомогательной аппаратуры выступает ЭВМ, так как разработка производится в среде САПР.

В результате проекта синтезированы принципиальные электрические схемы узлов устройства, произведено их моделирование и тестирование, а также получены временные диаграммы работы устройства и топология.

Основные конструктивные, технологические и технико-эксплутационные характеристики в полной мере соответствуют принятому международному стандарту CAN и удовлетворяют всем его требованиям.

Рекомендации по внедрению могут быть следующие: разработанная схема может широко внедряться и использоваться при проектировании различного вида микроконтроллеров практически любой архитектуры, так как является универсальным устройством, позволяющим передавать данные в последовательном виде.

Содержание

Введение

. Обзор литературы и патентный поиск

. Разработка структурной схемы последовательного CAN-порта

.1 Разработка общей структурной схемы

.2 Разработка структурной схемы механизма обнаружения ошибок

. Разработка поведенческой модели последовательного CAN-порта

. Тестирование последовательного CAN-порта

. Методика синтеза и синтез схем последовательного CAN-порта

. Построение топологии и анализ полученных результатов

. Организационно-экономический раздел

.1 Предварительная оценка планируемой к выполнению проектно-конструкторской работы

.2 Расчет трудоемкости ПКР

.3 Расчет договорной цены научно-технической продукции

.4 Выбор и обоснование товара-конкурента

.5 Анализ технической прогрессивности новой конструкции

.6 Анализ изменений функциональных возможностей

.7 Анализ соответствия новой конструкции нормативам

.8 Расчёт годовых издержек на электроэнергию потребителя последовательного CAN-порта в условиях эксплуатации

.9 Расчёт полезного эффекта последовательного CAN-порта в эксплуатации

.10 Образование цены нового последовательного CAN-порта

.11 Образование цены потребления и установление коммерческой конкурентоспособности

.12 Обоснование уровня качества нового товара

. Безопасность и экологичность

.1 Анализ опасных и вредных факторов

.2 Факторы воздействия на инженера

.3 Методы защиты

.4 Расчет шума на рабочем месте

.5 Пожаробезопасность

.6 Экологичность

Заключение

Список литературы

ПРИЛОЖЕНИЕ А Verilog-описание механизма сигнализации ошибок

ПРИЛОЖЕНИЕ Б Verilog-описание тестовой программы

Введение

В настоящее время стандарт CAN широко распространен во всех отраслях производства и эксплуатации где требуется высокая помехозащищенность и надежность передаваемой информации при небольших ее объемах. Данными отраслями являются: различного вида заводы, производственные предприятия, транспорт, сигнализации и прочие направления, где используется значительное количество датчиков для обработки информации пришедшей из внешней среды. Одним словом CAN нужен везде, где есть распределенная система управления в реальном времени.

Необходимость данного проекта по проектированию последовательного CAN-порта назрела в связи с надобностью внедрения его в отечественные разработки микроконтроллеров (МК) и процессоров цифровой обработки сигналов (ПЦОС). Целью проекта является получение принципиальной электрической схемы и топологии узлов данного продукта.

Основными исходными данными при разработке последовательного CAN-порта является международная спецификация на данный стандарт [4], принадлежащая Robert Bosch GmbH (Роберт Бош ГмбХ) и разработанная ей в 1987 году. В упомянутом документе содержатся описания всех уровней систем на CAN (физический, канальный, объектный), их взаимодействие, формат сообщений, алгоритмы обнаружения ошибок и т.п.

Основной задачей проекта выступает разработка алгоритмов работы схемы в соответствии со стандартом CAN, описание их на языке Verilog (Верилог), моделирование описанного на САПР Cadence SimVision® (Кэденс Симвижн), визуальная проверка временных диаграмм работы устройства после моделирования. При корректной работе модели следует произвести синтез электрических схем описанных блоков устройства с помощью библиотеки элементов, которая задана в технических условиях. После успешного синтеза схем они подлежат разводке на кристалле кремния.

1. Обзор литературы и патентный поиск

Была использована вся необходимая литература по вопросу CAN.

В статье С.А. Третьякова “CAN - локальная сеть контролеров”[1] в научно-популярной форме изложены некоторые положения. Часть статьи, дополненная автором, приведена ниже.

Последовательный CAN-порт предназначен для обмена информацией в системах распределенного контроля в реальном времени в соответствии со стандартом ISO 11898 (1993) CAN 2.0A, 2.0B. Первоначально CAN предназначался для управления трансмиссией в реальном масштабе времени, системы анти-заноса и замены всей радиальной проводки автомобиля. Впоследствии CAN, подобно урагану, ворвался во все сферы промышленного управления. Протокол CAN обладает великой скрытой мощью, которая не очевидна до тех пор, пока не проникнуть в проблемы вокруг проектирования встроенных распределенных систем управления, где различные модули, блоки, узлы и датчики должны быть объединены в единую систему.

Областью применения этого продукта являются, как уже говорилось выше, следующие отрасли народного хозяйства: транспорт любого вида, гражданская и военная промышленность, космические исследования, системы сигнализации и оповещения.

Любой современный автомобиль, локомотив, морское или речное судно, самолет нельзя представить без хотя бы одного блока, где используется CAN. Это же касается и военного транспорта. Различные заводы и производственные предприятия используют в своих цехах системы распределенного управления на основе шины CAN. Космические аппараты всевозможного назначения имеют также на своем борту CAN-систему, подобную автомобильной. Пожарные, охранные и прочие сигнализации в большинстве своем основаны на стандарте CAN.

Рассмотрим практическое применение CAN на борту автомобиля. В автомобиле присутствует множество датчиков, пересылающих информацию на бортовой компьютер. Это датчики давления и температуры масла, температуры охлаждающей жидкости, уровня тормозной жидкости, уровня жидкости омывателей лобового стекла и фар, датчик расхода воздуха, датчик расхода топлива, дождя, света и многие другие. Все эти сенсоры должны работать независимо друг от друга с возможностью отключения от системы (в случае неисправности самих себя или по желанию водителя) без ущерба для работы самой системы и остальных датчиков. При этом они должны качественно и с большой степенью надежности передавать информацию в бортовой компьютер для своевременного предупреждения неисправности. Особенно это касается “жизненно важных” индикаторов, таких как датчик износа тормозных колодок, давления тормозной жидкости, скорости вращения колес, положения руля и подушек безопасности.

Все эти условия может полностью удовлетворить протокол CAN. Он поддерживает высоконадежную передачу данных, как на физическом, так и на канальном уровнях, что обеспечивает вероятность пропуска сообщения 4,7*10^(-11). Также он подразумевает “безболезненное” отключение от шины любого из узлов сети. К тому же за счет топологии “шина” он защищен от физического обрыва провода. Более наглядно этот факт показан на рисунке 1.

Рисунок 1 - Схема физического подключения узлов CAN-системы

Где node 1…node n - узел 1 и узел n соответственно. CAN Bus Line - CAN-шина. CAN_H и CAN_L - условные обозначения дифференциальных проводов (каналов) шины.

Таким образом, мы плавно переходим к техническому описанию стандарта.

Оно доходчиво дано в научно-популярной статье А. Лапина “Интерфейс CAN. Слагаемые успеха”[2]. Ниже приводится краткое содержание этой статьи.

Сетевой протокол CAN был разработан в 1987 году фирмой Bosch (Бош) для мультипроцессорных автомобильных систем реального времени. CAN оптимизирован для систем, в которых передается сравнительно небольшой объем информации со скоростью до 1 Мбит/с. Основные достоинства CAN-протокола - высокая помехоустойчивость, надежность, возможность получения сообщений всеми узлами, контроллерами данных с синхронизацией по времени, неразрушающий арбитраж доступа к шине, малая вероятность пропуска ошибки, низкая стоимость. Принятая в CAN-интерфейсе схема передачи сообщений позволяет ее расширять и модернизировать: новые устройства приема данных можно добавлять к сети без изменения существующих программных средств и нарушения работы старой системы.

В середине 80-х годов прошлого столетия начала интенсивно развиваться автомобильная электроника, появились противоблокировочные тормозные системы, системы управления двигателем, средства регулирования тягового усилия, кондиционирования и пр. Все это требовало организации обмена данными между распределёнными по машине приборами, датчиками и исполнительными механизмами. Применявшиеся до этого не объединенные в единый цифровой интерфейс аналоговые и цифровые линии связи (доставляющие сигналы “как есть” со скоростью, не превышающей 10 байт/с) не справлялись с резко возросшим потоком данных, объем которых превысил разумный предел (рисунок 2). Специфика автомобильных кабельных сетей (ограничения на толщину кабелей и на механические воздействия на них) еще больше усугубляла эту проблему. Помимо увеличения стоимости кабельного хозяйства автомобиля, все возрастающее число линий связи вызвали серьезные проблемы снижения надежности, затруднения диагностики и ремонта.

Объединяя с помощью CAN-технологии исполнительные механизмы, датчики и преобразователи, поставщики оборудования с компьютерным управлением смогли сократить сроки проектирования, снизить затраты на соединения (благодаря наличию легких кабелей и соединителей) и улучшить надежность (в результате уменьшения числа соединений).

Рисунок 2 - Ограничения пропускной способности систем передачи данных с различной организацией

Последняя версия протокола CAN 2.0В позволяет увеличить скорость связи в восемь раз, по сравнению с первой версией. Ведутся работы по стандартизации изделий, совместимых с CAN и IEEE 1394.

Основополагающие решения формирования CAN-интерфейса определила специфика автомобилестроения. Выбор последовательного метода передачи информации в этом протоколе (рисунок 2) обусловлен необходимостью минимизации кабельного хозяйства автомобиля. Автомобильный кабель должен быть стойким к истиранию, а разъём - устойчивым к вибрации и прочным, оба компонента должны быть малогабаритными и недорогими. Параллельный интерфейс, с его громоздким хозяйством, здесь практически неприменим (рисунок 2).

Высокий уровень помех автомобильных систем обусловил выбор низкоомной линии обмена данными. В шинных формирователях CAN-интерфейса один из логических уровней представлен высоким напряжением и малым током линии, а также высоким сопротивлением его выходного каскада. Он назван “recessive” (рецессивным, отступающим, удаляющимся). Другому уровню соответствует низкое напряжение и высокий ток линии, низкое сопротивление выходного каскада. Он назван “dominant” (основным, доминирующим, преобладающим) уровнем. Будем считать “recessive” - уровнем логической “1”, а “dominant” - уровнем логического “0”.

Упрощённая схема выходных каскадов двух узлов и линии обмена данными CAN показана на рисунке 3. Выбор “токовой петли” для объединения узлов сети, двухтактной схемы или применение фазоманипулированного кода (как в стандарте Манчестер-II) привел бы к увеличению стоимости реализации. В то же время, достоинства CAN можно эффективно реализовать, выполнив выходные каскады по схеме с открытым коллектором и используя согласующие сопротивления линии в качестве нагрузки. (На самом деле всё несколько сложнее, как видно из рисунка 3, но здесь удобно рассмотреть упрощённую модель линии.) Линия представляет собой логический элемент “монтажное И”. Из таблицы истинности схемы, изображенной на рисунке 3 видно, что уровень “логической 1” формируется на линии Uвых только тогда, когда уровни “логической 1” присутствуют на всех входах. А уровень “логический 0” на выходе формируется из уровня “логического 0” на любом из входов, т.е. “логический 0” на любом входе имеет однозначный приоритет (не зря он называется “dominant”).

Рисунок 3 - Упрощённая модель работы выходных каскадов двух узлов линии обмена данными интерфейса CAN

В любой момент времени любой узел может выставить на линии уровень “логического 0”, что не приводит к образованию опасных сквозных токов и других конфликтов линии. Все узлы CAN, в том числе ведущий передачу, анализируют логический уровень на линии. На основе этого анализа передатчик делает выводы об “отношении” к информации, поступающей от других узлов. Этот механизм широко применяется в CAN-интерфейсе для арбитража шины и обнаружения ошибок передачи. А поскольку он работает в ходе передачи сообщения, то является очень экономичным по времени: отдельная линия и отдельные приемники и передатчики в узлах не нужны. Рассмотрим арбитраж шины (рисунок 4). В CAN протоколе сообщения не являются адресными. В них предусмотрен идентификатор”, содержащий адрес регистра передачи. Наиболее приоритетным считается сообщение с численно меньшим идентификатором. Все узлы синхронизируют работу своих тактовых генераторов по отношению к перепадам сигналов на линии. Перед передачей сообщения узел проверяет линию на свободность. Таким образом, несколько узлов могут одновременно начать выдачу сообщений, передав стартовый бит с уровнем “логического 0”, после чего линия уже не считается свободной. Заняв линию, узлы приступают к передаче идентификатора, начиная со старшего бита. Для случая, представленного на рисунке 4, это делают одновременно два узла. Пока идентификаторы побитно совпадают, одновременная передача сообщений остаётся незамеченной для всех узлов сети, в том числе и передающих. Когда же один из узлов передаёт уровень “логической 1”, а другой - уровень “логического 0” на линии, как рассматривалось выше, формируется уровень “логического 0”. Узел, передавший уровень “логического 1”, а зафиксировавший уровень “логического 0”, прекращает передачу. Идентификатор его сообщения численно больше идентификатора, передаваемого другим узлом, который продолжает передачу более приоритетного сообщения. Таким образом, приоритетный узел был выявлен без потери времени на арбитраж простым побитным сравнением идентификаторов (т.е. приоритетов) непосредственно в ходе передачи.

Рисунок 4 - Использование свойств элемента “монтажное И” при арбитраже сообщений в CAN

Похожий механизм используется при сигнализации об ошибках приёма. При одновременной передаче бита узел, обнаружив ошибку, выставляет на линии доминирующий уровень “логического 0”. Передатчик, задающий уровень “логической 1”, фиксирует несоответствие передаваемого сигнала сигналу на линии и прекращает передачу. Это обеспечивает непротиворечивость данных в сети: сообщение либо принято всеми узлами, либо не принято ни одним. Тот же или другой передатчик начинает новую попытку передачи сообщения в освободившуюся линию. В зависимости от числа попыток передатчик делает вывод о своей исправности. Для гарантии возможности сигнализации об ошибках в структуре передаваемого сообщения предусмотрены биты, которые передатчик всегда устанавливает равными уровню “логической 1” (например, бит после поля контрольной суммы). Эта удачная находка значительно увеличила шансы на выживание протокола CAN в динамично развивающейся автомобильной отрасли.

По логике организации обмена данными CAN отличается от традиционных интерфейсов. Организация обмена - событийно-ориентированна. Это означает, что как только узел получает сообщение о событии, о котором необходимо информировать другие узлы системы (с учетом описанной выше процедуры арбитража), он начинает широковещательную передачу сообщения. Такая процедура выполняется без программного опроса, прерываний и без контроллера, управляющего обменом. В результате возрастает живучесть системы: даже будучи разделенной на две части, она сохраняет жизнеспособность каждой из них (“принцип дождевого червя”). При этом обе подсистемы смогут функционировать независимо. Другое следствие равноправности всех узлов - экономия времени на доставку сообщения до адресата за счёт децентрализации системы. Как уже отмечалось, в CAN-протоколе понятие “адрес” отсутствует, а имеется понятие “идентификатор”, который фактически является адресом регистра передачи (в узлах CAN-интерфейса нет программно-доступных по записи регистров приёма). Каждый идентификатор жёстко связан с массивом данных, который может быть передан в линию при инициации передачи соответствующим узлом. Остальные узлы “прослушивают” линию и анализируют идентификаторы передаваемых сообщений, принимая только “свои” данные и игнорируя остальные. Данные могут одновременно принимать несколько узлов. Это значительно ускоряет работу интерфейса благодаря отсутствию дублирования передачи одинаковых данных разным узлам. В событийно-ориентированную логику работы интерфейса органично включена процедура запроса данных. Если узлу потребовались данные, формируемые другим узлом, он посылает сообщение с запросом этих данных, указывая в нём идентификатор. В ответ узел-держатель данных передаёт соответствующее сообщение. Событие, вызвавшее необходимость в данных, инициирует их доставку.

Более подробное техническое описание стандарта приведено в [4] для всех желающих.

И, наконец, согласно международной спецификации по стандарту “CAN 2.0A 2.0B”[4] ниже приведено описание механизма обнаружения ошибок, который выносится на разработку в данном дипломном проекте.

Чтобы достичь высокой безопасности передачи данных, приняты мощные меры нахождения ошибок, сигнализации ошибок и самотестирование в каждом CAN - узле.

Для обнаружения ошибок приняты следующие меры: текущий контроль (передатчики сравнивают уровни битов, которые переданы, с уровнями на шине), циклический контроль избыточности (CRC), побитовое заполнение, проверка кадра сообщения.

Механизмы обнаружения ошибок имеют следующие возможности:

обнаружение всех глобальных ошибок;

обнаружение всех локальных ошибок передатчиков;

обнаружение до 5 случайно распределённых ошибок в сообщении;

обнаружение последовательной группы ошибок длиной до 15;

обнаружение любого числа нечетных ошибок в сообщении.

Общая остаточная вероятность ошибки для необнаруженных, разрушенных сообщений, меньше чем скорость появления ошибки - 4,7*10^(-11).

Разрушенные сообщения помечаются узлом, обнаружившим ошибку. Такие сообщения прерываются и будут переданы снова. Время восстановления от обнаружения ошибки до начала следующего сообщения в большинстве случаев равняется времени передачи 29 битов, если не имеется никаких дальнейших ошибок.

Узлы CAN отличают временные отказы от постоянных. Дефектные узлы будут отключены.

Кадр ошибки состоит из двух различных полей. Первое поле является суперпозицией флагов ошибки различных узлов, второе поле - поле разделителя ошибки.

Рисунок 5 - Схема кадра ошибки

Для корректного завершения кадра ошибки, узлу в состоянии “пассивной ошибки” может быть необходим доступ к шине, поэтому шина должна быть свободной, по крайней мере, три времени передачи бита. Следовательно, шина не должна быть загружена на 100%.

Существует 2 формы флага ошибки: активный и пассивный флаг ошибки. Активный флаг ошибки состоит из 6 последовательных бит с “логическим 0”. Пассивный флаг ошибки состоит из 6 последовательных бит с “логической 1”, если они не перезаписаны битами с “логическим 0” других узлов.

Узел в состоянии “активной ошибки” при обнаружении ошибки передает активный флаг ошибки. Форма флага ошибки нарушает закон кодирования битового потока методом разрядного заполнения. Вследствие этого все узлы обнаруживают условие ошибки и начинают передавать флаг ошибки. В результате, последовательность бит с “логическим 0”, контролируемая на шине, является суперпозицией флагов ошибок отдельных узлов. Общая длина этой последовательности - от 6 до 12 бит с “логическим 0”.

Узел в состоянии “пассивной ошибки” при обнаружении ошибки передает пассивный флаг ошибки, он ждет последовательности из 6 одинаковых бит, определяющих начало флага пассивной ошибки. Когда эта последовательность будет обнаружена, флаг пассивной ошибки будет завершен.

Разделитель ошибки состоит из 8 бит с “логической 1”. После передачи флага ошибки каждый узел посылает биты с “логической 1” и контролирует шину, пока не обнаружит бит с “логической 1”. Впоследствии он начинает передавать 7 бит с “логической 1”.

Существует пять типов не взаимоисключающих ошибок:

разрядная ошибка - узел, который посылает что-либо на шину, также контролирует шину. Разрядная ошибка может быть обнаружена во время передачи бита, если переданное значение отличается от значения, прочитанного с шины;

ошибка заполнения - ошибка заполнения обнаруживается во время приема последовательности из шести бит одинакового разрядного уровня в поле сообщения, которое должно быть кодировано методом разрядного заполнения;

ошибка CRC - последовательность CRC состоит из результата вычисленного передатчиком. Приёмники вычисляют CRC таким же образом, как и передатчик. Ошибка CRC обнаруживается при несовпадении расчетного результата CRC-последовательности в приёмнике и присланной CRC-последовательности передатчика;

ошибка формата - ошибка формата обнаруживается, когда разрядное поле фиксированного формата содержит один или несколько лишних бит;

ошибка подтверждения - ошибка подтверждения обнаруживается передатчиком всякий раз, когда нет контроля бита с “логическим 0” в течение области подтверждения.

Узел, обнаруживший состояние ошибки сигнализирует об этом передачей флага ошибки. Для узла в состоянии “активной ошибки” это передача флага активной ошибки, для узла в состоянии “пассивной ошибки” это передача флага пассивной ошибки. Всякий раз при обнаружении разрядной ошибки, ошибки заполнения, ошибки формата и ошибки подтверждения узел начинает передавать флаг ошибки в следующем бите. Всякий раз, когда обнаружена ошибка CRC, передача флага ошибки будет начата после разделителя подтверждения, если не была начата передача флага ошибки для другого состояния.

Узел может быть в одном из трех состояний:

активной ошибки;

пассивной ошибки;

отключения от шины;

В состоянии “активной ошибки” узел может взаимодействовать с шиной, посылая флаг активной ошибки при обнаружении ошибки. В состоянии “пассивной ошибки” узел не должен слать флаг активной ошибки. Он принимает участие во взаимодействии с шиной, но при обнаружении ошибки должен послать флаг пассивной ошибки. После передачи сообщения об ошибке, узел в состоянии “пассивной ошибки” будет ждать инициализации дальнейшей передачи.

В состоянии отключения от шины узлу не разрешено оказывать влияние на шину.

Для типизации ошибок у каждого узла - CAN есть два счетчика: счетчик ошибок передачи, счетчик ошибок приема. Эти счетчики функционируют согласно следующим правилам:

когда принимающий узел обнаруживает ошибку, счетчик ошибок приема увеличивается на 1, за исключением разрядной ошибки во время передачи флага активной ошибки или флага перегрузки;

когда принимающий узел обнаруживает появление доминантного бита в качестве первого после передачи флага ошибки, счетчик ошибок приема увеличивается на 8;

когда передающий узел посылает флаг ошибки, счетчик ошибок передачи увеличивается на 8;

если передающий узел обнаруживает разрядную ошибку при передаче флага активной ошибки или флага перегрузки, счетчик ошибок передачи увеличивается на 8;

если принимающий узел обнаруживает разрядную ошибку во время передачи флага активной ошибки или флага перегрузки счетчик ошибок приема увеличивается на 8;

любой узел сети допускает до 7 последовательных доминантных бит после передачи флага активной ошибки, флага пассивной ошибки или флага перегрузки. После обнаружения последовательности 14 доминантных битов (в случае флага активной ошибки или флага перегрузки) или 8 доминантных битов вслед за флагом пассивной ошибки, а также в случае любой последовательности из 8 доминантных битов при передаче, узел увеличивает счетчик ошибок передачи на 8, в случае передачи и счетчик ошибок приема на 8, в случае приема;

после успешной передачи сообщения, счетчик ошибок передачи уменьшается на 1, пока не станет равным нулю;

после успешного приема сообщения, счетчик ошибок приема уменьшается на 1, если его значение было между 1 и 127. Если в счетчике ошибок приема ноль, счетчик остается без изменений. Если значение счетчика ошибок приема больше чем 127, он примет значение между 119 и 127;

узел находится в состоянии пассивной ошибки, когда один из счетчиков (ошибок передачи/приема) больше или равен 128. Возникновение ошибки, вследствие чего узел принял состояние пассивной ошибки, является причиной того, что узел передает флаг активной ошибки;

узел является отключенным от шины, если счетчик ошибок передачи больше или равен 256;

узел, находившийся в состоянии пассивной ошибки, снова переходит в состояние активной ошибки, если оба счетчика (ошибок передачи/приема) меньше или равны 127;

узлу, который находится в состоянии “отключен от шины”, разрешается перейти в состояние активной ошибки (непродолжительное состояние отключения от шины), с установкой обоих счетчиков в 0, после того, как на шине будут обнаружены 128 последовательностей из 11 рецессивных битов.

Также к переработанной литературе можно отнести описания готовых устройств подобного типа [5], руководство по алгоритмам коррекции ошибок CRC [6], оригинальные спецификации и допуски фирмы-разработчика стандарта Robert Bosch GmbH (Роберт Бош ГмбХ) [4], а также личные конспекты лекций по некоторым дисциплинам, изученным в ходе обучения в ВГТУ.

На данный момент не обнаружено патентов именно на это устройство (последовательный CAN-порт) ни в отечественном архиве (Федеральный институт промышленной собственности), ни в зарубежном (United States Patent and Trademark Office - Служба патентов и торговых марок Соединенных Штатов Америки). В связи с этим ниже в таблицу 1 сведены краткие данные о патентах на те изобретения, которые широко используют стандарт CAN и принципы, заложенные в нем. После таблицы приведены краткие описания отечественных изобретений.

К патенту H04B3/50: изобретение относится к электросвязи и может быть использовано при передаче дискретной информации по двухпроводной линии. Цель изобретения - увеличение дальности передачи. Устройство содержит на передающей стороне последовательно включенные первый источник питания, первый вывод которого соединен с первым проводом двухпроводной линии, а второй вывод соединен с шиной «земля», и ключ, первый и второй выводы которого соединены с первым и вторым проводами двухпроводной линии, управляющий вход ключа является входом устройства, а на приемной стороне - последовательно соединенные нагрузки, первый и второй выводы которой соединены с первым и вторым проводами двухпроводной линии, и второй источник питания, первый вывод которого соединен со вторым проводом двухпроводной линии, а второй вывод - с шиной «земля», при этом полюс второго источника питания, которым он подключен к шине «земля», противоположен полюсу, которым подключен к данной шине первый источник питания.

К патенту H04B3/00: устройство передачи сигналов между, по крайней мере одним генератором сигналов и по крайней мере одним приемником сигналов, содержащее систему линий для передачи сигналов и снабжения электрической энергией генератора и приемника сигналов, отличающееся тем, что каждый генератор сигналов и каждый приемник сигналов имеет устройство управления полевой шиной; система линий содержит четыре электрических проводника, из которых два проводника образуют линию полевой шины .для передачи сигналов, а два других проводника - линию энергоснабжения; каждый генератор сигналов (1, 2) и каждый приемник сигналов через устройство управления полевой шиной подключен к линии полевой шины; каждый генератор сигналов и каждый приемник сигналов подключен к линии энергоснабжения, и на обоих концах системы линии между обоими проводниками линии полевой шины установлен первый резистор, между одним проводником линии полевой шины и проводником линии энергоснабжения - второй резистор и между другим проводником линии полевой шины и другим проводником линии энергоснабжения - третий резистор, а общее сопротивление резисторов соответствует волновому сопротивлению линии полевой шины.

Таблица 1 - Патенты

Номер публикации

2050039

96119933

2007115180

20060253237

2007/0069877

Дата публикации

10.12.1995

10.01.1999

27.10.2008

09.11.2006

29.03.2007

Основной индекс (МПК)

H04B3/50

H04B3/00

H02P6/00

US7,421,323B2

US7,348,878B2

Заявитель

Орищенко Василий Николаевич

Гестра ГмбХ

Общество с ограниченной ответственностью «Центртехкомплект»

International Truck Intellectual Property Company, LLC (Международная компания по разработке интеллектуальных частей грузовиков)

International Truck Intellectual Property Company, LLC (Международная компания по разработке интеллектуальных частей грузовиков)

Автор(ы)

Орищенко Василий Николаевич

Хайнрих Ханземанн, Херберт Лаупихлер, Ян-Херманн Мюллер, Йоахим-Кристиан Политт, Гюнтер Шмитц, Хольгер Шретер;

Сорокин Антон Владимирович, Ремезов Александр Николаевич, Кочанов Юрий Иванович, Крылов Юрий Алексеевич, Докукин Александр Львович

Robert D. Dannenberg (Роберт ди Данненберг), Steven R. Lovell (Стивен эр Новелл)

Kenneth A. Fogelstrom (Кеннет эй Фогелстром)

Название

Устройство для передачи и приема дискретной информации по двухпроводной линии

Устройство передачи сигналов по полевой шине

Способ регулирования трехфазного напряжения в устройствах питания электропривода

Automated vehicle battery protection with programmable load shedding and engine speed control (Система автоматической защиты аккумуляторной батареи с программируемым отключением и контролем за оборотами двигателя)

Tire pressure monitoring system with permanent tire identification (Система слежения за давлением в шинах с постоянным выравниванием)



К патенту H02P6/00: способ регулирования трехфазного напряжения питания электропривода, включающий использование вентильно-индукторного электродвигателя с многофазной независимой обмоткой статора, разделенной на независимые каналы, датчика положения ротора, преобразователей частоты и блока управления, отличающийся тем, что дополнительно используют: автоматическую систему управления технологическим процессом (АСУ ТП), два взаиморезервированных контроллера, соединенных между собой в единую сеть, периферийные модули ввода-вывода сигналов с датчиков и конечных выключателей, соединенных с контроллерами промышленной сетью, а также устройства автоматического включения резерва (АВР), соответствующие вводы которых соединены с выводами силовых трансформатором напряжения, а выводы соединены между собой и с независимыми вводами устройств распределения силового питания с коммутационной и защитной аппаратурой, расположенной в силовом щите, преобразователи частоты, входы которых соединены независимо друг от друга с выводами устройств распределения силового питания, устройства распределения питания и защиты в силовом щите, подключенные независимыми вводами к соответствующим выводам преобразователей частоты, каждый из которых имеет выходы для питания независимой обмотки возбуждения электродвигателя, а также информационные входы, на которые, в свою очередь, через блок транслятора сигналов, поступают сигналы от датчика положения ротора, датчиков температуры, встроенных в электродвигатель и сигналы АСУ ТП, при этом все преобразователи связаны между собой промышленной сетью CAN (Controller area network), а контроллеры преобразователей, контроллеры АСУ ТП и модули ввода-вывода запитаны от источника бесперебойного питания (ИБП).


. Разработка структурной схемы последовательного CAN-порта

.1 Разработка общей структурной схемы

Разработка структурной схемы проводилась в соответствии с техническими условиями и международной спецификацией на стандарт CAN. Она приведена ниже на рисунке 6 и дано ее описание.

Рисунок 6 - Структурная схема порта CAN

Команды главного ЦП (центрального процессора) с помощью СЦ (согласующих цепей) пересылаются в/из приемо-передающий буфер. Далее, в зависимости от команды происходит либо выдача данных далее по схеме, либо ее прием из схемы. В случае передачи, передаваемая информация пересылается в формирователь кадров (сообщений), который из полезной информации команды составляет сообщение заданного фиксированного формата по всем правилам и спецификациям, описанным в стандарте CAN. После чего это сообщение передается выходной битовой логике и механизму сигнализации ошибок, после которой, в свою очередь, она передается на шину в последовательном виде. Появившись на шине, информация (сообщение) сразу же поступает во входную битовую логику, из которой пересылается также в механизм сигнализации ошибок, вспомогательные схемы и приемо-передающий буфер. В случае приема, информация считывается из приемо-передающего буфера.

.2 Разработка структурной схемы механизма обнаружения ошибок

Общая структурная схема отражает общий же механизм работы устройства, каждый элемент этой схемы состоит из множества блоков, подлежащих рассмотрению и разработке. Из-за огромного объема работ по данной теме для детального проектирования взят один из элементов схемы, состоящий в свою очередь, из 6 блоков (модулей) взаимосвязанных между собой и с внешними элементами.

Ниже, на рисунке 7, приведена разработанная структурная схема механизма сигнализации ошибок и дано описание ее принципа работы.

Рисунок 7 - Структурная схема механизма сигнализации ошибок

Сообщение после входной битовой логики уже без битового заполнения поступает на 4 блока из 6:

входной генератор CRC-последовательности;

блок проверки CRC-последовательности;

блок слежения за битовым заполнением;

блок проверки формата сообщения;

Сообщение после входной битовой логики с битовым заполнением поступает также в 4 блока из 6:

блок слежения за битовым заполнением;

блок проверки формата сообщения;

блок слежения за потоком битов;

блок проверки подтверждения приема;

Во входном генераторе формируется контрольная сумма на основе задающего полинома x^14+x^10+x^8+x^7+x^4+x^3+1 (100010110011001) и первых 4-х полей сообщения (начало кадра, поле арбитража, поле контроля, поле данных). Далее она поступает в блок проверки контрольной суммы (КС), где, в свою очередь, сравнивается с пришедшей с шины CRC-последовательностью, сгенерированной выходным CRC-генератором при формировании данного сообщения для передачи. На основе этого блоком проверки КС выносится решение об ошибке контрольной суммы.

В блок слежения за битовым заполнением поступает сообщение, как с битовым заполнением, так и без него. В нем эти два потока сравниваются, и происходит проверка в соответствии со спецификацией CAN (каждые подряд идущие 5 бит одинаковой полярности должны быть автоматически дополнены одним вспомогательным битом противоположной полярности). На основе этой проверки выносится решение об ошибке заполнения.

Блок проверки формата производит проверку формата сообщения также на основе бит-заполненного сообщения и бит-незаполненного в соответствии со спецификацией CAN (каждый из видов сообщения имеет строго фиксированный формат). Если формат сообщения выходит за рамки стандарта, то выносится решение об ошибке формата.

Блок слежения за потоком битов берет информацию с битовым заполнением с входа шины и с формирователя кадров. Сравнивая побитно переданную и полученную информацию, блок выносит решение об ошибке бита.

Блок проверки подтверждения приема всего лишь проверяет наличие в принятом сообщении бита подтверждения приема (неотъемлемая часть сообщения любого вида), выданного узлами сети. И на основе этой проверки выносит решение об ошибке подтверждения.

Сигналы от всех модулей механизма поступают в формирователь кадров, где, в зависимости от вида полученного сигнала(ов) формируется кадр ошибки и передается в сеть.

3. Разработка поведенческой модели последовательного CAN-порта

Методика разработки данного устройства состоит в составлении алгоритмов функционирования, выбранных для синтеза блоков, и описании их на языке проектирования аппаратуры Verilog[7]. Мною, для описания, выбран механизм коррекции ошибок, который состоит из 6 блоков (принцип работы и структурную схему см. выше). Ниже приведены описания сигналов для каждого из блоков. Сам программный код поведенческой модели приведен в приложении А.

Входной генератор CRC-последовательности:

rx_crc_frm - регистр, содержащий сгенерированную контрольную сумму для принятого сообщения;

serial_in - регистр, содержащий синхронизированный дискретный бит;

rx_crc_enable - сигнал включения входного CRC-генератора;

rx_crc_intl - признак инициализации входного CRC-генератора;

tx_success - признак успешной передачи сообщения;

rx_success - признак успешного приема сообщения;

clk - тактовый сигнал;

g_rst - сигнал глобального сброса.

Блок проверки CRC-последовательности:

crc_err - сигнал ошибки контрольной суммы;

rcvd_crc - регистр, содержащий принятую в сообщении контрольную сумму;

rx_crc_frm - регистр, содержащий сгенерированную контрольную сумму для принятого сообщения;

rx_success - признак успешного приема сообщения;

act_err_frm_tx - признак активного кадра ошибки переданного по шине;

psv_err_frm_tx- признак пассивного кадра ошибки переданного по шине;

rcvd_crc_flg - признак приема CRC-последовательности;

clk - тактовый сигнал;

g_rst - сигнал глобального сброса.

Блок слежения за битовым заполнением:

stf_err - сигнал ошибки заполнения;

one_count - счетчик, подсчитывающий количество логических единиц в принятом сообщении;

zero_count - счетчик, подсчитывающий количество логических нулей в принятом сообщении;

serial_in - регистр, содержащий синхронизированный дискретный бит;

arbtr_fld - признак передачи поля арбитража;

clk - тактовый сигнал;

g_rst - сигнал глобального сброса.

Блок проверки формата сообщения:

frm_err - сигнал ошибки формата;

rcvd_bt_cnt - счетчик, подсчитывающий полное число принятых битов;

rcvd_data_len - регистр, содержащий длину принятого сообщения;

rx_success - признак успешного приема сообщения;

act_err_frm_tx - признак активного кадра ошибки переданного по шине;

psv_err_frm_tx- признак пассивного кадра ошибки переданного по шине;

serial_in - регистр, содержащий синхронизированный дискретный бит;

clk - тактовый сигнал;

g_rst - сигнал глобального сброса.

Блок слежения за потоком битов:

bt_err - сигнал ошибки бита;

- can_bus_out - выход на CAN-шину;

- sampled_bit - регистр, содержащий дискретный бит;

dt_rm_frm_tx - признак передачи кадра запроса/данных;

act_err_flg_tx - признак активного флага ошибки переданного по шине;

psv_err_flg_tx- признак пассивного флага ошибки переданного по шине;

ovld_flg_tx - признак передачи флага перегрузки;

cons_zero_flg - признак приема нулей после передачи флага ошибки;

ovld_err_ifs_tx - признак передачи кадра ошибки/перегрузки;

tx_success - признак успешной передачи сообщения;

arbtr_fld - признак передачи поля арбитража;

arbtr_sts - признак проблемы приема/передачи;

ack_slt - признак передачи подтверждения приема;

ifs_flg_tx - признак передачи флага внутреннего кадрового пространства;

clk - тактовый сигнал;

g_rst - сигнал глобального сброса.

Блок проверки подтверждения приема:

ack_err - сигнал ошибки подтверждения;

ack_slt - признак передачи подтверждения приема;

act_err_frm_tx - признак активного кадра ошибки переданного по шине;

psv_err_frm_tx- признак пассивного кадра ошибки переданного по шине;

arbtr_sts - признак проблемы приема/передачи;

tx_success - признак успешной передачи сообщения;

sampled_bit - регистр, содержащий дискретный бит;

clk - тактовый сигнал;

g_rst - сигнал глобального сброса.

. Тестирование последовательного CAN-порта

Тестирование описанных схем производится в среде САПР Cadence SimVision®. Результаты моделирования приведены на рисунках под номерами с 8 по 14. Описания всех сигналов приведены выше. Пояснения к рисункам приведены ниже.

Рисунок 8: по этому рисунку видно как данный узел вычисляет контрольную сумму на основе пришедшей по шине информации с помощью регистра сдвига (сигнал rx_crc_frm).

Рисунок 9: в этом блоке производится сравнение сигналов rcvd_crc (принятая с шины crc-последовательность, содержащаяся в кадре данных) и сгенерированного собственным crc-генератором rx_crc_frm. На основе этого выносится решение о наличии ошибки контрольной суммы (сигнал crc_err).

Рисунок 10: данный блок, в зависимости от значений сигналов счетчиков единиц и нулей (one_count, zero_count), выносит решение о наличии в сообщении несоответствующих правилу битового заполнения (каждые подряд идущие 5 бит одинаковой полярности должны быть автоматически дополнены одним вспомогательным битом противоположной полярности) данных (сигнал stf_err).

Рисунок 11: здесь, на основе значения счетчиков битов и длины сообщения (rcvd_bt_cnt, rcvd_data_len), выносится решение о некорректном сообщении на шине (сигнал frm_err).

Рисунок 12: блок сравнивает переданную (can_bus_out) и полученную (sampled_bit) сообщения побитно. И в случае несовпадения схема решает что произошла ошибка (сигнал bt_err).

Рисунок 13: самая простая схема в механизме работает следующим образом - на основе наличия/отсутствия бита подтверждения приема (ack_slt) выносится решение о наличии ошибки приема (ack_err).

Рисунок 14: на этой диаграмме можно наблюдать поведение всех сигналов механизма обнаружения ошибок и их взаимовлияние друг на друга.

Рисунок 8 - Временные диаграммы сигналов блока генератора CRC-последовательности

Рисунок 9 - Временные диаграммы сигналов блока проверки CRC-последовательности

Рисунок 10 - Временные диаграммы сигналов блока слежения за битовым заполнением

Рисунок 11 - Временные диаграммы сигналов блока проверки формата сообщения

Рисунок 12 - Временные диаграммы сигналов блока слежения за потоком битов

Рисунок 13 - Временные диаграммы сигналов блока проверки подтверждения приема

Рисунок 14 - Временные диаграммы сигналов механизма обнаружения ошибок

Моделирование производится с помощью написанной также на Verilog программе тестирования (testbench). Листинг этой программы приведен в приложении Б.

. Методика синтеза и синтез схем последовательного CAN-порта

Синтез схем после описания и моделирования производится на САПР Cadence RTL Compiler®. В результате получаются электрические схемы блоков, состоящих из элементов библиотеки, подключенной к САПР. Схемы синтезированных на данный момент блоков приведены ниже на рисунках с 15 по 18. Описания всех сигналов приведены выше. Пояснения к рисункам приведены ниже.

Рисунок 15: эта схема с помощью элементов штрих-Пирсона поразрядно сравнивает сигналы rcvd_crc и rx_crc_frm. Затем, с помощью элементов Шеффера и еще нескольких управляющих сигналов (rcvd_crc_flg, rx_success, psv_err_frm_tx, act_err_frm_tx) воздействует на выходной D-триггер.

Рисунок 16: в приведенной схеме значения сигналов one_count и zero_count подаются на элементы 3И-НЕ для определения значения. При превышении определенного значения сигнал передается в мультиплексор, который, в свою очередь, передает на свой выход либо прямое, либо инверсное значение входного шинного сигнала. Под действием управляющего сигнала (arbtr_fld) сигнал подлежит передаче в выходной D-триггер.

Рисунок 17: здесь множество определяющих сигналов, проходя через несложную комбинационную логику влияют на включение регистра разрешения проверки наличия на шине неоконченного поля арбитража и на выходной D-триггер через составной элемент (3ИЛИ,2ИЛИ - И-НЕ).

Рисунок 18: эта самая простая схема посредством переключения D-триггера выносит соответствующее решение.

Рисунок 15 - Схема электрическая блока проверки контрольной суммы

Рисунок 16 - Схема электрическая блока слежения за битовым заполнением

Рисунок 17 - Схема электрическая блока слежения за потоком битов

Рисунок 18 - Схема электрическая блока проверки подтверждения приема

Проектирование производилось в полном соответствии с СТО 10600824.011 - 2007, действующем на предприятии - месте написания диплома. Согласно этому документу выходным продуктом на этапе эскизно-технического проектирования является фотошаблон рисунка топологии кристалла кремния. Этот фотошаблон подлежит в дальнейшем отправке на кремниевую фабрику для изготовления опытной партии кристаллов. По этой причине чертежи электрических схем не приводятся.

6. Построение топологии и анализ полученных результатов

Проведем оценку всех действий и результата проведенной работы.

Прежде всего, стоит упомянуть, что конечным результатом проекта является рисунок топологии на кристалле кремния. Этот рисунок получен посредством САПР Cadence Virtuoso® (Кэденс Виртуозо) и показан ниже на рисунке 19 Проанализируем полученные результаты.

В ходе проекта была “с нуля” спроектирована принципиальная электрическая схема механизма сигнализации ошибок последовательного CAN-порта. На основе алгоритмов работы и технических условий была написана на языке программирования аппаратуры Verilog поведенческая модель предполагаемой схемы. Эта модель была протестирована разработанной специально для нее программой. В ходе теста было установлено, что схема работает верно, по тем алгоритмам, что и положено по стандарту. Далее, поведенческая модель была переведена на основе библиотеки элементов, использующих 0,35 мкм технологию, в электрические схемы, и вновь протестирована уже на частоте 16 МГц. После удачного и завершающего теста была произведена разводка топологии кристалла кремния, которая будет по необходимости реализована “в железе”.

Таким образом, мы можем с полной уверенностью утверждать, что разработанное устройство в полной мере удовлетворяет техническим условиям и может широко применяться в любом микроконтроллере, процессоре и микросхеме, где применяются принципы CAN.

Рисунок 19 - Укрупненный вариант участка топологии

7. Организационно-экономический раздел

.1 Предварительная оценка планируемой к выполнению проектно-конструкторской работы

Задача оценки технико-экономического и «рыночного уровня» новизны, а также эффективности возникает до начала выполнения ОКР и при подведении её итогов.

В первом случае должна быть дана априорная оценка, отражающая в первом приближении актуальность, целесообразность, полезность, конкурентоспособность, ожидаемую эффективность предпринимаемой работы по конкретному воплощению научно-технических замыслов в материализованном виде: схемных решений и конструкции отдельных частей и РЭА в целом. Во втором случае - апостериорная оценка, цель которой состоит в установлении уровня «рыночной новизны» результатов разработки.

Для проведения предварительной оценки используем метод экспертных оценок, который базируется на выборе смысловых характеристик количественно измеряемых по определённой бальной системе. Результаты предварительной оценки приведены в таблице 2.

Таблица 2 - Критерии и их оценки ОКР

Шкала критериев

Оценка критериев, балл

1 Имеющийся опыт в данной области проектирования 1.1 Некоторый опыт

  +1

2 Патентоспособность 2.1 Защита схемных решений патентами не предполагается

 -2

3 Возможность внедрения результатов ОКР в производство 3.1 Широкое внедрение возможно сразу после завершения ОКР

  +2

Шкала критериев

Оценка критериев, балл

4 Привлекательность проектируемого изделия для имеющегося контингента покупателей 4.1 Сохраняет всех старых покупателей

   +2

5 Сервисное обслуживание 5.1 Незначительные требования

 +2

6 Требования по расширению рынка 6.1 Покупатель заинтересован

 +1

7Наличие конкурирующих товаров 7.1 Множество субститутов

 -1


Сумма баллов +6, что обозначает перспективность разработки.

.2 Расчет трудоемкости ПКР

Для расчета трудоемкости ПКР воспользуемся методом типовых этапов (работ), который предполагает использование известных соотношений этапов при подробном расчете одного из них по укрупненным нормативам затрат труда. Результаты предварительной оценки приведены в таблице 3.

Таблица 3 - Расчет трудоемкости разработки рабочих чертежей

Виды работ

Количество листов формата А4

Норма времени на 1 лист, чел./ч

Трудоемкость чел./ч

Нестандартная аппаратура

2

8,2

16,4

Принципиальные и монтажные схемы

 10

 5,2

 52

Прочие конструкторские работы

 6

 5,8

 34,8

Итого

18,0

103,2

 С учетом коэффициента унификации и применения заимствованных деталей, равного 0,95

   -

   -

   98,04

 С учетом коэффициента новизны конструкции, равного 1,5

  -

  -

  154,8

С учетом коэффициента серийности изготовления конструкции, равного 1,3

  -

  -

  134,16

С учетом коэффициента применения новой РЭА, равного 1,3

  -

  -

  134,16

Всего

-

-

248,53


Расчет трудоемкости ОКР представлен в таблице 4.

Таблица 4 - Расчет общей трудоемкости ОКР

Наименование стадий

Удельный вес

Трудоемкость, чел.-ч

Техническое предложение

5

79,27

Эскизное проектирование

18

285,35

Техническое проектирование

32

507,3

Разработка рабочей документации (в т.ч. разработка рабочих чертежей)

45

713,39

Разработка рабочих чертежей

11

248,53

Всего

100

1833,83


.3 Расчет договорной цены научно-технической продукции

Результатом опытно-конструкторских работ является научно-техническая продукция, которая является предметом купли-продажи и реализуется по договорным ценам.

Цена устанавливается одновременно с техническим заданием до начала проведения разработки. Она, так же, как и цены других товаров, должна отвечать ряду требований: заинтересовывать заказчика и разработчика в проведении более эффективных ОКР, регулировать спрос и предложение и др.

При определении договорной цены темы разработчик и заказчик должны руководствоваться правилами ее экономической выгодности.

Цена разработчика (Цр) - это нижний предел цены. Она должна, как минимум, обеспечивать возмещение затрат.

Цена заказчика (Цз) - это верхний предел цены, обеспечивающий сохранение и увеличение уровня рентабельности при использовании результатов ОКР. Окончательная договорная цена (Цд) должна отвечать условию:

 (1)

Расчет стоимости покупных радиодеталей и материалов для новой разработки представлен в таблице 5.

Таблица 5 - Расчет стоимости покупных изделий

Наименование покупных изделий и материалов

Количество

Цена за единицу, р.

Сумма, р

Корпус




Н16.48-2Б

1

253

253

 

Проволока алюминиевая




 

АК 0,9 ПМ-35А

0,183

1,19

0,22

 

Кристалл




 

ЩИ7.344.540

1

23

23

 

Вспомогательные материалы



5,6

 

Итого

-


281,8

 

Транспортно-заготовительные Работы

 -

215,5

215,5

 

Всего

-

-

497,32

 


Оплата труда исполнителей определяется исходя из трудоемкости квалификационных категорий работ и стоимости человека - часа с учетом надбавок. Результаты расчета основной заработной платы исполнителей ОКР, выполненного по данным ФГУП НИИЭТ, представлены в таблице 5.

Для заполнения таблицы необходимо знать количество исполнителей ОКР. Для расчета численности воспользуемся формулой:

 (2)

где T - общая трудоемкость;

Ф - полезный фонд времени одного исполнителя;

квн - планируемый коэффициент выполнения норм выработки, равный 1,1.

 (3)

Принимаем количество исполнителей равным 10-ти, их состав представлен в таблице 6.

Таблица 6 - Расчет основной заработной платы исполнителей ОКР

Исполнители

Трудоемкость, чел./ч.

Часовая оплата, руб.

Заработная плата, руб.

Ведущий инженер

150

111

16650

Инженеры 1 категории

420

103

43260

Инженеры 2 категории

600

81

48600

Чертежник

202

44

8888

Всего

1372

-

117398


Из таблицы 6 получаем размер фонда оплаты труда (ФОТ) равный 117398 рублей. С учетом фонда оплаты труда определяем договорную цену ОКР. Расчет представлен в таблице 7.

Таблица 7 - Расчет договорной цены ОКР

Наименование затрат

Сумма, р

Примечание

1 Заработная плата исполнителей

117398

-

2 Отчисления на социальные нужды

30524

26 % от п. 1

3 Спецоборудование

-

-

4 Комплектующие изделия и материалы

497,32

-

5 Командировки

-

-

6 Контрагентские расходы

-

-

7 Прочие расходы В том числе 7.1 Поддержание научной работы 7.2 Поддержание патентной работы 7.3 Рекламная деятельность и поддержание научной работы студентов

8426  2808 2808 2808

4,5 % от п. 10  1,5 % от п. 10 1,5 % от п. 10 1,5 % от п. 10

8 Отчисление во внебюджетный фонд

 2808

 1,5 % от п. 10

9 Накладные расходы В том числе 9.1 Хозяйственные расходы 9.2 Общенисовские расходы

28086  14979 13107

15,0 % от п. 10  8,0 % от п. 10 7,0 % от п. 10

10 Договорная цена

187243

-


.4 Выбор и обоснование товара-конкурента

В качестве товара-конкурента возьмём микроконтроллер последовательного CAN-порта фирмы Atmel AT90CAN128-16AI(AU). Розничная цена на микроконтроллер AT90CAN128-16AI(AU) составляет 973 р. Микроконтроллер аналогично разрабатываемому имеет интерфейс JTAG (IEEE 1149.1 совместимый), поддерживает CAN 2.0A и 2.0B и работает на частоте 16 МГц. Контроллер имеет широкое применение, его используют в таких областях, как автомобильный и железнодорожный транспорт, промышленная автоматика, авиация, системы доступа и контроля.

7.5 Анализ технической прогрессивности новой конструкции

Техническая прогрессивность электронной аппаратуры в значительной мере определяет её конкурентоспособность. Она является предпосылкой размера издержек производства и потребления и может быть установлена только при сравнении товаров между собой по группам технических параметров. Техническая прогрессивность измеряемых параметров характеризуется коэффициентом эквивалентности (Кэк). Результаты расчёта представлены в таблице 8.

Таблица 8 - Расчет коэффициента эквивалентности устройства последовательного CAN-порта

Наименование параметра

“Вес” параметра, В

Значение параметра

Пб ---- Пэ

Пн ---- Пэ

Пб В---- Пэ

Пн В---- Пэ



 Пб

 Пн

 Пэ














Диапазон рабочих температур ∆t, °С

0,40

 125

 140

 185

 0,68

0,76

0,272

0,304

Диапазон питающих напряжений, В

0,30

2,8

3

4

0,7

0,75

0,21

0,225

Потребляемая мощность, мВт

0,20

0,002

0,0018

0,0015

0,75

0,83

0,15

0,166

Технологический процесс, мкм

0,10

0,35

0,35

0,25

0,71

0,71

0,071

0,071

Итого

1.00

-

-

-

-

-

0,703

0,766


 (4)

где Ктн и Ктб коэффициенты технического уровня базового и нового изделия.

В итоге получили Кэк = 1,1, что говорит о высоком техническом уровне разрабатываемого изделия.

.6 Анализ изменений функциональных возможностей

Анализ изменений функциональных возможностей проводится на основе сравнения параметров нового изделия и товара-конкурента, которые не могут быть измерены и устанавливаются экспертами. Изменение функциональных возможностей нового изделия характеризуется коэффициентом изменения функциональных возможностей КФВ. Так как последовательный CAN-порт является стандартным устройством, имеющим жёстко заданные в соответствии со спецификацией параметры работы, то по функциональным возможностям базовое устройство и новое устройство не должны отличаться. Это означает, что коэффициент изменения функциональных возможностей КФВ=1.

.7 Анализ соответствия новой конструкции нормативам

Для оценки соответствия нормам новой конструкции используется единичный показатель. Учитывая, что при проектировании устройства разработчик строго придерживается спецификации создаваемого устройства, а также в составе контроллера последовательного CAN-порта используются стандартные технические компоненты и типовые технологические процессы, применённые при его производстве, то можно сделать вывод, что разрабатываемое устройство соответствует стандартам и нормам, поэтому все единичные показатели равны единице, а значит, групповой параметр Кн равен единице.

Таким образом, новый товар с точки зрения соответствия нормативам может поступать на рынок.

.8 Расчёт годовых издержек на электроэнергию потребителя последовательного CAN-порта в условиях эксплуатации

Текущие расходы потребителя, которые непосредственно связаны с эксплуатацией последовательного CAN-порта, заключаются в затратах на электроэнергию. Разрабатываемое устройство в процессе эксплуатации не требует каких-либо затрат на дополнительное облуживание. Рассчитаем годовые затраты на электроэнергию.

 (5)

где р - потребляемая мощность, кВт ;

Физ - число часов работы РЭА за год;

Сэ - стоимость 1-го кВт*ч, р.

Uэ.н.= 1,8*(10^-6)*2.20*8000=0,03 р.,

Uэ.к.= 2*(10^-6)*2.20*8000=0,04 р.,

Число часов работы принимаем для каждого последовательного CAN-порта Физ=8000 ч., цена 1 кВт-ч Сэ=2.20 р. Мощность потребляемая от сети товаром-конкурентом Р=0,002 Вт и новым товаром Р=0,0018 Вт. Результаты расчёта представлены в таблице 9.

Таблица 9 - Расчёт годовых эксплуатационных издержек потребителя последовательного CAN-порта

Наименование расходов

Сумма, р.


Товар-конкурент

Новый товар

Расходы на потребляемую электроэнергию

0,04

0,03

Всего

0,04

0,03

Проведённый расчёт годовых издержек показывает, что разработанная модель последовательного CAN-порта хоть и очень незначительно, но экономичнее товара-конкурента.

.9 Расчёт полезного эффекта последовательного CAN-порта в эксплуатации

Полезный эффект (Эп) новой конструкции последовательного CAN-порта в эксплуатации представляет собой стоимостную оценку изменения потребительских свойств, оказывающих влияние на показатели технической прогрессивности и долговечности применяемого изделия.

 (6)

где Цб - цена базового изделия;

Кэк - коэффициент эквивалентности;

Кд - коэффициент учета изменения срока службы нового изделия по сравнению с базовым:

 (7)

где Т1 и Т2 - сроки службы базовой и новой РЭА равные 2 годам;

Ен - поправочный коэффициент равный 0,15 ,

Кд =1, ΔИ - изменение текущих издержек потребителя

Изменение текущих издержек потребителя равно ΔИ =0,01.

Полезный эффект:

 (8)

7.10 Образование цены нового последовательного CAN-порта

Для определения оптовой цены нового изделия воспользуемся методом удельных затрат на основе коэффициента эквивалентности. Расчёт себестоимости покупных изделий производился ранее и приведён в таблице 4. Результат расчёта полной себестоимости по статьям расходов и оптовой цены приведён в таблице 10.

Таблица 10 - Расчёт оптовой цены РЭА

Наименование расходов

Удельный вес, %

Сумма, р.

Основные материалы

8

62,16

Покупные изделия и полуфабрикаты

64

497,32

Зарплата производственных рабочих

10

77,7

Общепроизводственные расходы

9

69,93

Общехозяйственные расходы

5

38,85

Прочие производственные расходы

2

15,54

Производственная себестоимость

98

761,46

Внепроизводственные расходы

2

15,54

Полная себестоимость

100.0

777

Прибыль (20%)

-

155,4

Оптовая цена

-

932,4


Для выбора стратегии ценообразования необходимо рассчитать нижний и верхний пределы цены нового последовательного CAN-порта. Верхний предел цены устанавливается на основе стоимостной оценки улучшения потребительских свойств товара.

 (9)

где Эп - полезный эффект от применения нового товара, р;

Кэ - поправочный коэффициент.

Верхний предел цены равен 983 р. Нижний предел цены на новый товар берется исходя из полной себестоимости изделия и уровня рентабельности, который устанавливается предприятием изготовителем.

 (10)

где Сп - полная себестоимость изделия, р;

Ур - уровень рентабельности.

Нижний предел равен 777 р. Таким образом между нижней и верхней границей существует “поле игры”. В нашем случае верхний предел сильно отличается от нижнего. “Поле игры” довольно широко, и в этом случае выход на рынок не должен вызвать особого риска.

Для установления продажной цены необходимо учитывать факторы, приведенные в таблице 11.

Таблица 11 - Основные соображения при назначении цены на новый товар

Показатель

Значение показателя

1 Полная себестоимость нового товара, р.

777

2 Нижний предел цены нового товара, р.

777

3 Верхний предел цены нового товара, р.

983

4 Цена товара-конкурента, р.

973

5 Прогнозный запрос покупателя в новом товаре на конкретном рынке, шт.

1000

6 Продажная цена на новый товар, р.

950


Целесообразно выбрать политику “защиты позиций на рынке” для ценообразования на новый последовательный CAN-порт. Цена на новый последовательный CAN-порт устанавливается в зависимости от технического уровня и других качественных характеристик, объёма и качества сервиса, рекламы, цен на товары-конкуренты. Цена, установленная для нового CAN-порта размером в 950 рублей, означает превосходство над товаром-конкурентом по издержкам. Оно выражается в меньшей себестоимости продукта.

 

.11 Образование цены потребления и установление коммерческой конкурентоспособности

Одной из главных характеристик нового товара является цена потребления. Наиболее конкурентоспособен на рынке тот товар, у которого ниже цена потребления, а не продажная цена.

 (11)

где Ц - продажная цена;

И - годовые эксплуатационные издержки потребителя;

Тн - нормативный срок эксплуатации в годах.

Цптк = 973+ 0,04 ´ 2 = 973,08 р.,

Цпн = 950 + 0,03 ´ 2 = 950,06 р.,

Расчет цены потребления для товара-конкурента и нового товара приведен в таблице 12.

Таблица 12 - Расчет цены потребления

Наименование затрат

Сумма


Товар-конкурент

Новый товар

1 Продажная цена, р.

973

950

2 Годовые эксплуатационные издержки потребителя, р.

0,04

0,03

3 Нормативный срок эксплуатации новой РЭА в годах

2

2

Цена потребления

973,04

950,03


Относительным параметром конкурентоспособности является коэффициент цены потребления.

 (12)

Кц =0,98

Новый товар имеет более низкую цену потребления, значит он более конкурентоспособен, чем товар-конкурент.

.12 Обоснование уровня качества нового товара

Для оценки конкурентоспособности последовательного CAN-порта по отношению к товару-конкуренту воспользуемся интегральным коэффициентом (Кин). Интегральный коэффициент конкурентоспособности товара представляет собой численную характеристику и является отношением группового показателя по техническим, функциональным, нормативным параметрам к групповому коммерческому показателю.

 (13)

Кин = 1,12

Cледовательно, новый последовательный CAN-порт обладает более высокой конкурентоспособностью.

Сделаем окончательные выводы об уровне новизны разрабатываемой конструкции последовательного порта.

Итоги проделанных расчетов представлены в таблице 13.

Таблица 13 - Показатели рыночного уровня новизны разрабатываемой конструкции последовательного порта

Показатели

Товар


Конкурент

Новый

1 Технические



 1.1 Поддерживаемые стандарты

CAN 2.0A и 2.0B

CAN 2.0A и 2.0B

 1.2 Рабочая частота

16 МГц

16 МГц

1.3 Отладочные интерфейсы

JTAG

JTAG

2 Экономические



 2.1 Продажная цена, р.

973

950

 2.2 Годовые издержки потребителя, р.

0,04

0,03

2.3 Полезный эффект, р.

-

97,31

 2.4 Цена потребления, р.

973,04

950,03

 2.5 Интегральный коэффициент конкурентоспособности

1

1,12


По результатам технико-экономического анализа можно сделать вывод, что новый последовательный порт не превосходит старую модель по техническим параметрам, а превосходит по экономическим показателям, следствием чего является его коммерческая конкурентоспособность.

Реализовывать товар необходимо сразу же после производства первой партии, иначе можно проиграть время, и тем самым быть вытесненным с рынка. В дальнейшем, анализируя темпы продаж необходимо сделать заключение о перспективности увеличения объема производства и в случае положительного результата не дожидаясь полного сбыта приступить к выпуску новой партии. Если товар будет иметь успех у покупателя, то наращивать производство по мере поступления заказов.

Для сбыта продукции нужно создать специальные дилерские сети, с соответствующими скидками на различные объемы покупок (от 1% до 3%).

Производственный анализ является неотъемлемой частью любой разработки, представляемой непосредственному заказчику, поэтому корректность результатов имеет особое значение и говорит об успехе своего коллектива разработчиков.

. Безопасность и экологичность

.1 Анализ опасных и вредных факторов

Разработка полупроводниковых систем на кристалле (КМОП СБИС) на предприятии производится в лабораториях с использованием вспомогательных инструментов. К этим инструментам относятся ЭВМ (серверы и рабочие станции), специальное программное обеспечение (САПР, ОС, офисные приложения, интернет-броузеры) и периферийное оборудование (принтеры, плоттеры, сканеры, микроскопы, программаторы и пр.). Также в лаборатории организовано искусственное освещение, система кондиционирования и вентиляции воздуха, компьютерная и электрическая сети. Рабочее место инженера оборудовано ЭВМ с двумя устройствами отображения (мониторы), устройствами ввода (клавиатура, мышь) и источником бесперебойного питания.

При выполнении инженером своих рабочих обязанностей (включение/выключение спецоборудования, манипуляция органами управления, наблюдение за изображением на устройствах отображения) он подвергается различного рода воздействиям:

электромагнитное излучение;

электрическая опасность;

шумовое воздействие;

механическое воздействие на суставы рук;

практически неподвижный (сидячий) рабочий процесс;

обедненный отрицательными и положительными ионами кислорода воздух;

недостаточность/чрезмерность искусственного освещения.

Электромагнитное излучение создают устройства отображения (рентгеновское), тактовый генератор ЭВМ (СВЧ), беспроводные компьютерные сети (УВЧ). Электрическая опасность может проявляться в поражении электрическим током при недостаточном заземлении электрооборудования, наличии открытых токоведущих частей, нарушении изоляции проводов, внутренней неисправности оборудования, а также при использовании электроприборов не по назначению (человеческий фактор). Шумовое воздействие оказывает система воздушного охлаждения ЭВМ, работающее периферийное оборудование разного рода, система кондиционирования воздуха, манипуляции с клавиатурой. Механическое воздействие на суставы рук оказывают манипуляции с клавиатурой и мышью.

Неподвижный рабочий процесс в сидячем положении приводит к нарушению кровообращения и даже застою крови в мелких сосудах и капиллярах. Отфильтрованный и прошедший через систему кондиционирования воздух обеднен ионами кислорода, что отрицательно сказывается на работоспособности и обогащении клеток мозга кислородом. Искусственное освещение не привычно для человеческого глаза даже в нормальных количествах, это оказывает влияние на органы зрения. Также на органы зрения оказывает влияние наблюдение за изображением на мониторах, что заставляет держать глазные мышцы в постоянном напряжении.

.2 Факторы воздействия на инженера

Так как факторов воздействия на человека на данном рабочем месте множество, рассмотрим лишь несколько, на мой взгляд, самых важных и актуальных из них. Эти факторы перечислены в предыдущем подразделе и ниже приведено их подробное описание.

Одним из важнейших факторов, оказывающих негативное воздействие на нервную систему человека, являются акустические и вибрационные воздействия. Накапливаясь в организме, акустические раздражения приводят к усталости, повышенному кровяному давлению, сонливости, нервозности и другим более серьезным последствиям.

Действие шума на организм человека не ограничивается воздействием на орган слуха. Повышенный шум влияет на нервную и сердечнососудистую системы, репродуктивную функцию человека, вызывает раздражение, нарушение сна, утомление, агрессивность, способствует психическим заболеваниям. Воздействие на вегетативную нервную систему проявляется даже при небольших уровнях звука (40 - 70 дБА).

Шумовое воздействие не только приводит к головной боли и быстрой утомляемости, снижает умственную активность, но и вызывает тяжелые нервные и сердечно-сосудистые заболевания. Большая часть сердечно-сосудистых заболеваний, которые приводят к преждевременному летальному исходу, вызвана именно воздействием шума. При этом привыкание к шуму и ослабление его негативного воздействия невозможно. Изменения в нервной системе приводят к патологической перестройке тканей. Это вызывает различные заболевания и неврозы.

Механическое воздействие на суставы рук возникает при работе с устройствами ввода. При вводе символов с клавиатуры и при манипулировании мышью оказывается воздействие на межсуставные прокладки (хрящи) пальцев рук и кистелучевого соединения. Также постоянно напряженное положение рук в одной позе влияет на локтевые и плечевые суставы. При манипулировании мышью часть ладони постоянно находится на твердой поверхности стола, что приводит к артриту костей ладони.

Наиболее распространен кистевой туннельный синдром, при котором нервы руки повреждаются вследствие частой и длительной работы на компьютере. В наиболее тяжелой форме этот синдром проявляется в виде мучительных болей, лишающих человека трудоспособности.

Малоподвижность является только негативным фактором в жизнедеятельности любого человека - она несет в себе угрозу возникновения различных заболеваний. Когда человек проводит большую часть своей повседневной жизни в сидячем положении, то начинают страдать его венозная и лимфатическая система.

Дело в том, что для нормального процесса циркуляции лимфы совершенно необходима нагрузка мышц нижних конечностей - через нее осуществляется возврат лимфы в кровь. При малой подвижности лимфа скапливается в тканях, вот почему, например, к концу рабочего дня, у человека появляются отеки и онемение в ногах. Самое неприятное то, что этот процесс влечет за собой потерю эластичности тканей, в результате изо дня в день в тканях будет накапливаться все больше и больше жидкости, кожа будет растягиваться, отеки и болезненные ощущения в ногах будут все больше.

Нарушению лимфатической циркуляции при сидячем образе жизни обязательно сопутствует нарушение кровообращения - кровь застаивается в органах таза и нижних конечностях, нарушается отток венозной крови в сторону сердца, что приводит к растяжению стенок сосудов и разрушению капилляров, а это неизбежно приводит к возникновению различных венозных заболеваний. При этом некоторые заболевания легко напоминают о себе, такие как геморрой, и довольно эффективно лечатся. А вот патологические изменения происходящие внутри - значительно опаснее.

При сидячем образе жизни кроме нижней части тела страдает и верхняя: позвоночник и грудной отдел. Позвоночник находится в искривленном состоянии, в результате развивается сколиоз и регулярные боли в спине после рабочего дня.

Также, от длительного сидения нарушается и вентиляция легких - в сидячем положении за компьютерным столом грудная клетка сдавлена, дыхание неполноценно, организм страдает от нехватки кислорода, а это в свою очередь, ведет к появлению головной боли к концу рабочего дня, бледности и общему ухудшению самочувствия.

Недостаток содержания легких (отрицательных) аэроионов в помещениях с персональными компьютерами приводит к выраженному негативному эффекту. Субъективно недостаток легких (отрицательных) аэроионов во вдыхаемом воздухе выражается в ощущении не свежести воздуха и нехватки кислорода. Наибольшее число жалоб, предъявляемых в условиях аэроионной недостаточности: неудовлетворительное самочувствие, повышенная утомляемость, частые головные боли, неврозы, повышенное давление. Также негативно сказывается преобладание положительных аэроионов, которое может приводить к ухудшению самочувствия людей, бессоннице, утомлению, снижению работоспособности.

Освещение воздействует на организм человека и выполнение производственных заданий. Правильное освещение уменьшает количество несчастных случаев, повышает производительность труда. Исследования показывают, что при хорошем освещении производительность труда повышается примерно на 15%. Неправильное освещение наносит вред зрению работающих, может быть причиной таких заболеваний как близорукость, спазм, аккомодация, зрительное утомление и других болезней, понижает умственную и физическую работоспособность, увеличивает число ошибок в производственных процессах, аварий и несчастных случаев.

Стандартом ГОСТ 12.1.003-83 обозначены предельные уровни звука в зависимости от категории тяжести и напряженности труда, являющиеся безопасными в отношении сохранения здоровья и работоспособности. Исходя из этих данных, приходим к выводу, что уровень шума на рабочем месте программистов и инженеров при работе с ЭВМ не должен превышать 50дБА, а в залах обработки информации на вычислительных машинах - 65дБА.

Для компьютерных устройств ввода (клавиатура и мышь) в настоящее время не имеется общепринятых и широко распространенных стандартов. В тоже время многие производители данного оборудования, рекламируя свою продукцию, описывают различные конструктивные решения, повышающие эргономичность ее использования: клавиатура с возможностью регулирования расположение клавиш, мышь с формой, уменьшающей усталость кисти при длительной работе. Хотя некоторые из них стоит рассматривать только как броскую рекламу, многие модели действительно являются своеобразным технологическим скачком вперед с точки зрения безопасности работы за компьютером.

Проектирование устройства с применением САПР относится к работе в режиме диалога с радиоэлектронным оборудованием (РЭО). При таком режиме работы на предприятии, согласно нормативным документам, регламентированы перерывы через 1,5-2 часа от начала смены и через 1,5-2 часа после обеденного перерыва продолжительностью 20 минут каждый или продолжительностью 15 минут через каждый час работы. Суммарное время работы с видеотерминалами не должно превышать 6 часов в смену. Продолжительность непрерывной работы без регламентируемого перерыва не должна превышать 2 часов.

Согласно СНиП № 2152-80 представлены следующие нормы на содержание отрицательных аэроионов в воздухе производственных и общественных помещений:
необходимый минимум - 600 ионов/см3 оптимальный уровень - 3000-5000 ионов/см3.

Согласно СНиП II 4-79 в лабораториях, подобных нашей, необходимо применить систему комбинированного освещения.

Требования к освещенности в помещениях, где установлены компьютеры, следующие: при выполнении зрительных работ высокой точности общая освещенность должна составлять 300лк, а комбинированная - 750лк; аналогичные требования при выполнении работ средней точности - 200 и 300лк соответственно.

8.3 Методы защиты

Строительно-акустические методы защиты от шума предусмотрены строительными нормами и правилами (СНиП-II-12-77) это:

звукоизоляция ограждающих конструкции, уплотнение по периметру притворов окон и дверей;

звукопоглощающие конструкции;

звукопоглощающие облицовки.

На рабочем месте инженера источниками шума, как правило, являются технические средства, как компьютер, принтер, система кондиционирования и вентиляции, клавиатура, а также внешний шум. Они издают довольно незначительный шум, поэтому в помещении достаточно использовать звукопоглощение. Уменьшение шума, проникающего в помещение извне, достигается уплотнением по периметру притворов окон и дверей. Под звукопоглощением понимают свойство акустически обработанных поверхностей уменьшать интенсивность отраженных ими волн за счет преобразования звуковой энергии в тепловую. Звукопоглощение является достаточно эффективным мероприятием по уменьшению шума. Наиболее выраженными звукопоглощающими свойствами обладают волокнисто-пористые материалы: фибролитовые плиты, стекловолокно, минеральная вата, полиуретановый поропласт, пористый поливинилхлорид и др. К звукопоглощающим материалам относятся лишь те, коэффициент звукопоглощения которых не ниже 0.2.

Звукопоглощающие облицовки из указанных материалов (например, маты из супертонкого стекловолокна с оболочкой из стеклоткани нужно разместить на потолке и верхних частях стен). Максимальное звукопоглощение будет достигнуто при облицовке не менее 60% общей площади ограждающих поверхностей помещения. Немаловажным для снижения шума в процессе эксплуатации является вопрос правильной и своевременной регулировки, смазывания и замены механических узлов шумящего оборудования.

Системы отопления и системы кондиционирования следует устанавливать так, чтобы ни теплый, ни холодный воздух не направлялся на людей.

При печати важно держать локти параллельно поверхности стола и под прямым углом к плечу, поэтому клавиатура должна располагаться в 10-15 см (в зависимости от длины локтя) от края стола. В этом случае нагрузка приходится не на кисть, в которой вены и сухожилия находятся близко к поверхности кожи, а на более "мясистую" часть локтя. Снизить негативное воздействие на суставы рук можно путем применения эргономично построенных устройств ввода и использованием специальных подстилающих поверхностей рабочего стола. Также смягчить воздействие можно с помощью регулярной гимнастики и разминки кистей и локтевых суставов.

В качестве защиты от негативных последствий сидячего рабочего положения стоит проводить регламентированные перерывы в работе как можно подвижнее, предпочитать ежечасные 15-ти минутные перерывы 20-ти минутным через 1,5-2 часа. В ходе данных перерывов производить гимнастику спины, шеи, рук, а также размять мышцы, не задействованные при сидячем положении. В нерабочее время тоже не стоит забывать о том, что сидячее положение является преобладающим в жизни современного человека. Стоит, при возможности, больше стоять или лежать, т.к. сидячая поза наиболее неестественна для человеческого организма. Не помешают умеренные занятия спортом (бег, плавание, легкая атлетика) и регулярные пешие прогулки длительностью не менее 30 мин в день.

Для поддержания оптимального количества отрицательных и положительных аэроионов в воздухе стоит применять искусственные ионизаторы воздуха (т.н. люстры Чижевского в различных конструкторских исполнениях) и чаще проводить влажную уборку помещений. Не помешает также замена ЭЛТ-мониторов на ЖК, строго отведенные места для курения и т.п.

Существуют определённые требования к производственным помещениям. Окраска помещений и мебели должна способствовать созданию благоприятных условий для зрительного восприятия, хорошего настроения. Отражение, включая отражения от вторичных источников света, должно быть сведено к минимуму. В помещениях, где находится компьютер, необходимо обеспечить следующие величины коэффициента отражения: для потолка: 60…70%, для стен: 40…50%, для пола: около 30%. Для других поверхностей и рабочей мебели: 30…40%.

Кроме того все поле зрения должно быть освещено достаточно равномерно - это основное гигиеническое требование. Иными словами, степень освещения помещения и яркость экрана компьютера должны быть примерно одинаковыми, т.к. яркий свет в районе периферийного зрения значительно увеличивает напряженность глаз и, как следствие, приводит к их быстрой утомляемости.

.4 Расчет шума на рабочем месте

Шум на рабочем месте инженера создается различными устройствами. Рассмотрим следующие из них: НЖМД ПК, корпусные вентиляторы ПК, вентилятор БП ПК, вентилятор охлаждения ЦП ПК, клавиатура ПК, принтер, система кондиционирования воздуха.

Для решения вопросов о необходимости и целесообразности снижения шума необходимо знать уровни шума на рабочем месте инженера.

Уровень шума, возникающий от нескольких некогерентных источников, работающих одновременно, подсчитывается на основании принципа энергетического суммирования излучений отдельных источников.

 (14)

где Li - уровень звукового давления i-го источника;- количество источников шума.

Полученные результаты расчета сравнивается с допустимым значением уровня шума для данного рабочего места. Если результаты расчета выше допустимого значения уровня шума, то необходимы специальные меры по снижению шума, описанные в предыдущем подразделе.

Уровни звукового давления источников шума, действующих на инженера на его рабочем месте, представлены в таблице 14. Для расчетов взяты максимальные уровни звукового давления устройств.

Таблица 14 - Уровни звукового давления различных источников

Источник шума

Уровень шума, дБ

Жесткий диск

17

Вентилятор охлаждения ЦП

34

Вентилятор охлаждения корпуса

22

Вентилятор охлаждения БП

22

Клавиатура

27

Принтер

50

Кондиционер

34


Подставив значения уровня звукового давления для каждого вида оборудования в формулу (15), получим:

L∑=10·lg(101,7+2*103,4+4*102,2+102,7+105)=50 дБ (15)

Полученное значение не превышает допустимый уровень шума для рабочего места оператора, равный 65 дБ (ГОСТ 12.1.003-83) и является максимальным для данного рабочего места. И если учесть, что вряд ли все устройства будут работать в режиме полной нагрузки одновременно, то эта цифра будет еще ниже. Кроме того, при работе принтера непосредственное присутствие оператора необязательно, т.к. принтер снабжен механизмом автоподачи листов, а кондиционер расположен на значительном удалении от рабочего места.

.5 Пожаробезопасность

Организация ФГУП НИИЭТ принадлежит к пожароопасному предприятию. По причине повышенной пожарной опасности на территории и в помещения установлен строгий противопожарный режим. Запрещено курение на территории и необорудованных помещениях предприятия. Курить разрешается только в строго отведённых местах.

На каждом участке присутствуют инструкции по противопожарной безопасности, в которых перечислены производственные установки с повышенной опасностью, меры по предотвращению пожаров и возгораний, действий рабочих при возникновении возгорания, места расположения электровыключателей, кранов газоснабжения, средства пожаротушения (огнетушители, пожарные краны, автоматические средства) и пользование ими, а также планы эвакуации.

Всем помещениям присвоена соответствующая категория по пожарной безопасности в соответствии с НПБ 105-03, таблички с указанием категории по взрывоопасной и пожарной опасности вывешены у входа. На видных местах вывешены планы (схемы) эвакуации людей в случае пожара.

Предприятие оборудовано внутренним противопожарным водопроводом. Пожарные краны с рукавами и наконечником расположены на лестничных клетках и производственных этажах.

На предприятии применяются углекислотные огнетушители. Огнетушители углекислотные ОУ-2 (двухлитровые), ОУ-5 (пятилитровые), ОУ-8 (восьмилитровые), возимые ОУ-25 (однобаллонные на колёсах) и ОУ-80 (двухбаллонные установки на колёсах).

Эвакуация людей производится при пожаре на территории отдела или при угрозе задымления в ниже расположенных помещениях. Команды на эвакуацию людей могут быть переданы по средствам связи - радио, диспетчерской связи и голосом.

.6 Экологичность

Проектирование микропроцессорных (КМОП СБИС) систем на кристалле с помощью САПР на предприятии ФГУП НИИЭТ не относится к работам, оказывающим экологическое влияние на окружающую среду. Влияние оказывается лишь косвенное и локальное (вокруг рабочего места инженера). К нему мы можем отнести обеднение воздуха аэроионами кислорода и потребление электроэнергии. Меры по решению этих проблем описаны выше.

Заключение

В ходе проекта получены, согласно техническим условиям, структурные схемы последовательного CAN-порта и механизма обнаружения ошибок, принципиальные схемы блоков механизма обнаружения ошибок. Проведено их моделирование и тестирование на работоспособность и правильность выполнения заданных алгоритмов. Произведен расчет рыночной эффективности и экологичность продукта.

Поставленная задача выполнена в полной мере в соответствии с техническими условиями и международной спецификацией CAN 2.0A 2.0B[4]. Проверена работоспособность синтезированных схем в условиях поставленной задачи (частота 16 МГц, напряжение питания от 3,3 В, реализация по 0,35 мкм КМОП технологии). Проект полностью готов к дальнейшим завершающим тестам и изготовлению на его основе фотошаблонов для отправки на кремниевую фабрику.

Разработанную часть порта рекомендуется интегрировать в современные специализированные микроконтроллеры различной архитектуры, где требуется надежная передача данных. А область применения таких контроллеров очень обширна - начиная с автомобилей и самолетов до систем охранных сигнализаций и цехов крупных заводов.

Список литературы

1. Третьяков C.А. CAN - локальная сеть контоллеров //Электроника -1998. - № 9. - С. 10 - 12.

Лапин А. Интерфейс CAN. Слагаемые успеха //Электроника: наука, технология, бизнес - 2005. - № 2. - С. 40 - 43.

3 ISO 11898-2:2003. Road vehicles -- Controller area network (CAN). -TC 22/SC 3, 2003. - 26 с.

CAN Specification Version 2.0. Stuttgart. Robert Bosch GmbH; Stuttgart 1, 1991. - 72 с.

5 Модуль CAN в микроконтроллерах PIC18CXX8. Москва. ООО “Микро-Чип”; Москва, 2001. - 52 с.

Ross N. Williams. Элементарное руководство по CRC-алгоритмам обнаружения ошибок / Ross N. Williams - Rocksoft Pty Ltd., 1993. - 36 c.

7 IEEE Std 1364-2001. IEEE Standard Verilog® Hardware Description Language, (Revision of IEEE Std 1364-1995), 2001. - 791 с.

8 Методические указания по выполнению организационно-экономических расчётов и обоснований в дипломных проектах. Воронеж. Гос. Тех. Ун-т; Сост. В.И. Попов, М.А. Гремяченская. Воронеж, 2005. - 45 с.

СТО 10600824.011 - 2007. Микросхемы интегральные. Порядок разработки полностью заказных ИС и ИС на стандартных элементах на этапе эскизно-технического проектирования. - ФГУП НИИЭТ, 2007. - 31 с.

ПРИЛОЖЕНИЕ А

(обязательное)

Verilog-описание механизма сигнализации ошибок

Листинг 1 - Генератор CRC-последовательности

порт процессор verilog

`timescale 1ns/10ps

module can_crc (rx_crc_frm,serial_in,rx_crc_enable,rx_crc_intl,tx_success,rx_success,clk,g_rst);[14:0] rx_crc_frm;serial_in;rx_crc_enable;rx_crc_intl;tx_success;rx_success;clk;g_rst;[14:0] rx_crc_frm;[14:0] crc_tmp;crc_next;crc_next = serial_in ^ rx_crc_frm[14];crc_tmp = {rx_crc_frm[13:0], 1'b0};@ (posedge clk or posedge g_rst)(g_rst) rx_crc_frm <= 15'h0;if (tx_success || rx_success) rx_crc_frm <= 15'h0;if (rx_crc_intl) rx_crc_frm <= 15'h0;if (rx_crc_enable)(crc_next) rx_crc_frm <= crc_tmp ^ 15'h4599;rx_crc_frm <= crc_tmp;

end

Листинг 2 - Блок проверки CRC-последовательности

`timescale 1ns/10pscrc_checker (crc_err,rcvd_crc,rx_crc_frm,rx_success,act_err_frm_tx,psv_err_frm_tx,rcvd_crc_flg,clk,g_rst);crc_err;[14:0] rcvd_crc;[14:0] rx_crc_frm;rx_success;act_err_frm_tx;psv_err_frm_tx;rcvd_crc_flg;clk;g_rst;crc_err;@ (posedge clk or posedge g_rst)(g_rst)crc_err <= 1'b0;if (rx_success || act_err_frm_tx || psv_err_frm_tx) crc_err <= 1'b0;if (rcvd_crc_flg)(rcvd_crc != rx_crc_frm) crc_err <= 1'b1;crc_err <= 1'b0;crc_err <= 1'b0;

Листинг 3 - Блок слежения за битовым заполнением

`timescale 1ns/10psbit_stuff_monitor(stf_err,one_count,zero_count,serial_in,arbtr_fld,clk,g_rst);stf_err;[2:0] one_count;[2:0] zero_count;serial_in;arbtr_fld;clk;g_rst;stf_err;@ (posedge clk or posedge g_rst)(g_rst) stf_err <= 1'b0;if (arbtr_fld) stf_err <= 1'b0;if (one_count == 3'd5)(serial_in) stf_err <= 1'b1;stf_err <= 1'b0;if (zero_count == 3'd5)(~serial_in) stf_err <= 1'b1;stf_err <= 1'b0;stf_err <= 1'b0;

Листинг 4 - Блок проверки формата сообщения

`timescale 1ns/10psform_checker (frm_err,rcvd_bt_cnt,rcvd_data_len,rx_success,act_err_frm_tx,psv_err_frm_tx,serial_in,clk,g_rst);frm_err;[6:0] rcvd_bt_cnt;[6:0] rcvd_data_len;rx_success;act_err_frm_tx;psv_err_frm_tx;serial_in;clk;g_rst;frm_err;@ (posedge clk or posedge g_rst)(g_rst) frm_err <= 1'b0;if (rx_success || act_err_frm_tx || psv_err_frm_tx) frm_err <= 1'b0;if ((rcvd_bt_cnt == (7'd20 + rcvd_data_len + 7'd14 + 7'd1))||(rcvd_bt_cnt == (7'd20+ rcvd_data_len + 7'd14 + 7'd3))||((rcvd_bt_cnt >= (7'd20 + rcvd_data_len + 7'd14 + 7'd4))&&(rcvd_bt_cnt <= (7'd20 + rcvd_data_len + 7'd14 +7'd10))))(~serial_in) frm_err <= 1'b1;frm_err <= 1'b0;frm_err <= 1'b0;

endmodule

Листинг 5 - Блок слежения за потоком битов

`timescale 1ns/10psbit_monitor(bt_err,can_bus_out,sampled_bit,dt_rm_frm_tx,act_err_flg_tx,psv_err_flg_tx,ovld_flg_tx,cons_zero_flg,ovld_err_ifs_tx,tx_success,arbtr_fld,arbtr_sts,ack_slt,ifs_flg_tx,clk,g_rst);bt_err;can_bus_out;sampled_bit;dt_rm_frm_tx;act_err_flg_tx;psv_err_flg_tx;ovld_flg_tx;cons_zero_flg;ovld_err_ifs_tx;tx_success;arbtr_fld;arbtr_sts;ack_slt;ifs_flg_tx;clk;g_rst;bt_err;arbtr_sts_en;@ (posedge clk or posedge g_rst)(g_rst) arbtr_sts_en <= 1'b0;if (arbtr_sts) arbtr_sts_en <= 1'b1;arbtr_sts_en <= 1'b0;@ (posedge clk or posedge g_rst)(g_rst) bt_err <= 1'b0;if((can_bus_out == sampled_bit) || (arbtr_sts_en && (arbtr_fld|| ack_slt || ifs_flg_tx) && can_bus_out && (~sampled_bit))|| (psv_err_flg_tx && can_bus_out && (~sampled_bit))||

(cons_zero_flg && can_bus_out && (~sampled_bit))||(ovld_err_ifs_tx && can_bus_out && (~sampled_bit))) bt_err <= 1'b0;if ((dt_rm_frm_tx && arbtr_sts_en && (~(arbtr_fld || ack_slt ||ifs_flg_tx)) && (can_bus_out != sampled_bit))||((~can_bus_out) && (sampled_bit) && (ovld_flg_tx||act_err_flg_tx))) bt_err <= 1'b1; bt_err <= 1'b0;

endmodule

Листинг 6 - Блок проверки подтверждения приема

`timescale 1ns/10psack_checker(ack_err,ack_slt,act_err_frm_tx,psv_err_frm_tx,arbtr_sts,tx_success,sampled_bit,clk,g_rst);ack_err;ack_slt;act_err_frm_tx;psv_err_frm_tx;arbtr_sts;tx_success;sampled_bit;clk;g_rst;ack_err;@ (posedge clk or posedge g_rst)(g_rst) ack_err <= 1'b0;if (tx_success || act_err_frm_tx || psv_err_frm_tx) ack_err <= 1'b0;if (arbtr_sts && ack_slt && sampled_bit) ack_err <= 1'b1;ack_err <= 1'b0;

ПРИЛОЖЕНИЕ Б

(обязательное)

Verilog-описание тестовой программы

`timescale 1ns/10ps

module testbench;serial_in;rx_crc_enable;rx_crc_intl;tx_success;rx_success;clk;g_rst;[14:0] rcvd_crc;act_err_frm_tx;psv_err_frm_tx;rcvd_crc_flg;[2:0] one_count;[2:0] zero_count;arbtr_fld;[6:0] rcvd_bt_cnt;[6:0] rcvd_data_len;can_bus_out;dt_rm_frm_tx;act_err_flg_tx;psv_err_flg_tx;ovld_flg_tx;cons_zero_flg;ovld_err_ifs_tx;arbtr_sts;ack_slt;ifs_flg_tx;[14:0] rx_crc_frm;crc_err;stf_err;frm_err;bt_err;ack_err;_in=1'b1;_crc_enable=1'b0;_crc_intl=1'b0;_success=1'b0;_success=1'b0;=1'b1;_rst=1'b1;_crc=15'h7d85;_err_frm_tx=1'b0;_err_frm_tx=1'b0;_crc_flg=1'b0;_count=3'd4;_count=3'd4;_fld=1'b0;_bt_cnt=7'd52;_data_len=7'd46;_rm_frm_tx=1'b0;_err_flg_tx=1'b0;_err_flg_tx=1'b0;_flg_tx=1'b0;_zero_flg=1'b0;_err_ifs_tx=1'b0;_sts=1'b0;_slt=1'b0;_flg_tx=1'b0;_bus_out=serial_in;

#20_rst=1'b0;

#50;_crc_enable=1'b1;_in=1'b0; //start_fld=1'b1;_rm_frm_tx=1'b1;

#10;_in=1'b1; //id

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0; //id

#10;_in=1'b0; //rtr_fld=1'b0;

#10;_in=1'b0; //

#10;_in=1'b0; //

#10_in=1'b0; //control

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1; //control

#10;_in=1'b0; //data

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10_in=1'b1; //data

#5_crc_enable=1'b0;

#5_in=1'b1; //crc_crc_flg=1'b1;

#10_in=1'b1;

#10_in=1'b1;_crc_flg=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1; //crc

#10_in=1'b1; //crc del

#10_in=1'b0; //ack slot_slt=1'b1;

#10_in=1'b1; //ack del_slt=1'b0;_crc_intl=1'b1;

#10_crc_intl=1'b0;

#60_rm_frm_tx=1'b0;_success=1'b1;

#180;_crc_enable=1'b1;_in=1'b0; //start_rm_frm_tx=1'b1;_fld=1'b1;

#10;_in=1'b1; //id

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0; //id

#10;_in=1'b0; //rtr_fld=1'b0;

#10;_in=1'b0; //

#10;_in=1'b0; //

#10_in=1'b0; //control

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1; //control

#10;_in=1'b0; //data

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b0;_sts=1'b1;

#10;_in=1'b1;

#10_in=1'b1; //data

#5_crc_enable=1'b0;

#5_in=1'b1; //crc_crc_flg=1'b1;

#10_in=1'b1;

#10_in=1'b1;_crc_flg=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1;

#10_in=1'b1;_flg_tx=1'b1;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1; //crc_flg_tx=1'b0;

#10_in=1'b1; //crc del

#10_in=1'b1; //ack slot_slt=1'b1;

#10_in=1'b1; //ack del_slt=1'b0;_crc_intl=1'b1;

#10;_crc_intl=1'b0;_err_flg_tx=1'b1;

#60;_rm_frm_tx=1'b0;_success=1'b0;

#10;_count=3'd5;

#170;_crc_enable=1'b1;_in=1'b0; //start_fld=1'b1;_err_flg_tx=1'b1;_rm_frm_tx=1'b1;

#10;_in=1'b1; //id

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0; //id

#10;_in=1'b0; //rtr_fld=1'b0;_err_flg_tx=1'b0;_count=3'd4;

#10;_in=1'b0; //

#10;_in=1'b0; //

#10_in=1'b0; //control

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1; //control_zero_flg=1'b1;

#10;_in=1'b0; //data

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10_in=1'b1; //data_err_flg_tx=1'b0;

#5_crc_enable=1'b0;

#5_in=1'b1; //crc_crc_flg=1'b1;_zero_flg=1'b0;

#10_in=1'b1;

#10_in=1'b1;_crc_flg=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;_data_len=7'd17;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1; //crc

#10_in=1'b1; //crc del

#10_in=1'b0; //ack slot_slt=1'b1;

#10_in=1'b1; //ack del_slt=1'b0;_crc_intl=1'b1;

#10_crc_intl=1'b0;_err_frm_tx=1'b1;

#60;_rm_frm_tx=1'b0;

#10_count=3'd5;

#20;_in=1'b0;

#50;_in=1'b0;

#100;_crc_enable=1'b1;_in=1'b0; //start_fld=1'b1;_rm_frm_tx=1'b1;

#10;_in=1'b1; //id

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;_err_frm_tx=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0; //id

#10;_in=1'b0; //rtr_fld=1'b0;_count=3'd4;

#10;_in=1'b0; //

#10;_in=1'b0; //

#10_in=1'b0; //control

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1; //control_err_frm_tx=1'b0;

#10;_in=1'b0; //data

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1;

#10_in=1'b1; //data

#5_crc_enable=1'b0;

#5_in=1'b1; //crc_crc_flg=1'b1;

#10_in=1'b1;

#10_in=1'b1;_crc_flg=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;_err_frm_tx=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1; //crc

#10_in=1'b1; //crc del

#10_in=1'b1; //ack slot_slt=1'b1;

#10_in=1'b1; //ack del_slt=1'b0;_crc_intl=1'b1;_data_len=7'd46;

#10_crc_intl=1'b0;

#60_rm_frm_tx=1'b0;

#180;_crc_enable=1'b1;_in=1'b0; //start_fld=1'b1;_rm_frm_tx=1'b1;_flg_tx=1'b1;_data_len=7'd10;

#10;_in=1'b1; //id_sts=1'b0;

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0; //id

#10;_in=1'b0; //rtr_fld=1'b0;

#10;_in=1'b0; //

#10;_in=1'b0; //

#10_in=1'b0; //control

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1; //control

#10;_in=1'b0; //data

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10_in=1'b1; //data

#5_crc_enable=1'b0;

#5_in=1'b1; //crc_crc_flg=1'b1;

#10_in=1'b1;

#10_in=1'b1;_crc_flg=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1; //crc

#10_in=1'b1; //crc del

#10_in=1'b0; //ack slot_slt=1'b1;

#10_in=1'b1; //ack del_slt=1'b0;_crc_intl=1'b1;

#10_crc_intl=1'b0;

#60;_rm_frm_tx=1'b0;_success=1'b1;

#180;_crc_enable=1'b1;_in=1'b0; //start_fld=1'b1;_rm_frm_tx=1'b1;_err_ifs_tx=1'b1;

#10;_in=1'b1; //id

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0; //id

#10;_in=1'b0; //rtr_fld=1'b0;

#10;_in=1'b0; //

#10;_in=1'b0; //

#10_in=1'b0; //control

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1; //control

#10;_in=1'b0; //data

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b1;

#10;_in=1'b0;

#10;_in=1'b0;

#10;_in=1'b1;

#10_in=1'b1; //data

#5_crc_enable=1'b0;

#5_in=1'b1; //crc_crc_flg=1'b1;

#10_in=1'b1;

#10_in=1'b1;_crc_flg=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b0;

#10_in=1'b1;

#10_in=1'b0;

#10_in=1'b1; //crc

#10_in=1'b1; //crc del

#10_in=1'b0; //ack slot_slt=1'b1;

#10_in=1'b1; //ack del_slt=1'b0;_crc_intl=1'b1;

#10;_crc_intl=1'b0;

#60;_rm_frm_tx=1'b0;

#1940;

$stop;

#5;=~clk;_bus_out=~serial_in;_crc can_crc_1 (.rx_crc_frm(rx_crc_frm),.serial_in(serial_in),.rx_crc_enable(rx_crc_enable),.rx_crc_intl(rx_crc_intl),.tx_success(tx_success),.rx_success(rx_success),.clk(clk),.g_rst(g_rst));_checker crc_checker_1 (.crc_err(crc_err),.rcvd_crc(rcvd_crc),.rx_crc_frm(rx_crc_frm),.rx_success(rx_success),.act_err_frm_tx(act_err_frm_tx),.psv_err_frm_tx(psv_err_frm_tx),.rcvd_crc_flg(rcvd_crc_flg),.clk(clk),.g_rst(g_rst));_stuff_monitor bit_stuff_monitor_1 (.stf_err(stf_err),.one_count(one_count),.zero_count(zero_count),.serial_in(serial_in),.arbtr_fld(arbtr_fld),.clk(clk),.g_rst(g_rst));_checker form_checker_1 (.frm_err(frm_err),.rcvd_bt_cnt(rcvd_bt_cnt),.rcvd_data_len(rcvd_data_len),.rx_success(rx_success),.act_err_frm_tx(act_err_frm_tx),.psv_err_frm_tx(psv_err_frm_tx),.serial_in(serial_in),.clk(clk),.g_rst(g_rst));_monitor bit_monitor_1 (.bt_err(bt_err),.can_bus_out(can_bus_out),.sampled_bit(serial_in),.dt_rm_frm_tx(dt_rm_frm_tx),.act_err_flg_tx(act_err_flg_tx),.psv_err_flg_tx(psv_err_flg_tx),.ovld_flg_tx(ovld_flg_tx),.cons_zero_flg(cons_zero_flg),.ovld_err_ifs_tx(ovld_err_ifs_tx),.arbtr_fld(arbtr_fld),.arbtr_sts(arbtr_sts),.ack_slt(ack_slt),.ifs_flg_tx(ifs_flg_tx),.clk(clk),.g_rst(g_rst));_checker ack_checker_1 (.ack_err(ack_err),.ack_slt(ack_slt),.act_err_frm_tx(act_err_frm_tx),.psv_err_frm_tx(psv_err_frm_tx),.arbtr_sts(arbtr_sts),.tx_success(tx_success),.sampled_bit(serial_in),.clk(clk),.g_rst(g_rst));

endmodule

Похожие работы на - Последовательный CAN-порт процессора обработки данных

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!