Проектирование цифрового устройства

  • Вид работы:
    Курсовая работа (т)
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    175,74 Кб
  • Опубликовано:
    2012-05-14
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Проектирование цифрового устройства













«Проектирование цифрового устройства»

СОДЕРЖАНИЕ

 
ВВЕДЕНИЕ

1.  РАЗРАБОТКА СТРУКТУРНОЙ И ФУНКЦИОНАЛЬНОЙ СХЕМ УСТРОЙСТВА

1.1 Разработка структурной схемы устройства

.2 Разработка функциональной схемы устройства

. РАЗРАБОТКА ПРИНЦИПИАЛЬНОЙ СХЕМЫ УСТРОЙСТВА

.1 Выбор элементной базы

.2 Проектирование схемы детектора фронтов

.3 Проектирование генератора тактовых импульсов

.4 Проектирование счетного устройства

.5 Проектирование блока вывода в устройство обработки

.6 Проектирование блока индикации

.7 Проектирование блока управления

. РАСЧЕТ ПАРАМЕТРОВ УСТРОЙСТВА

ЗАКЛЮЧЕНИЕ

СПИСОК ЛИТЕРАТУРЫ

ПРИЛОЖЕНИЕ 1. Алгоритм работы устройства

ПРИЛОЖЕНИЕ 2. Временные диаграммы работы устройства

ВВЕДЕНИЕ


В настоящее время в нашей жизни появляется все больше и больше цифровых устройств, которые выполняют достаточно широкий ряд функций.

Эти устройства - калькуляторы, программаторы, различные счетчики сигналов, осциллографы, термометры, измерительные приборы, системы управления и т.д.

Почему же они занимают такое место в нашей жизни? Это не случайно, у данных устройств ряд преимуществ по сравнению с аналоговыми устройствами:

1.       высокий КПД;

2.       повышенная надежность;

.        простота проектирования схем;

.        схемы практически не требуют настройки, и после сборки сразу начинают работать;

.        возможность контроля точности устройства;

.        системы просты в ремонте;

.        широкий спектр возможностей решения одной и той же задачи;

.        малые потребляемые токи;

.        схемы строятся на однотипных элементах;

.        компактность;

.        представление сигнала в виде последовательности нулей и единиц, т.е. сигнал либо есть, либо его нет и не приходится говорить о его уровне;

.        высокое быстродействие.

Наряду с перечисленными преимуществами существует и некоторые недостатки:

1.       параметры схем сильно чувствительны к изменению температуры;

2.       системы не работают при повышенной радиации.

Внедрение микропроцессорной, и вообще цифровой, техники в устройства управления промышленными объектами требует от специалистов самого различного профиля быстрого освоения этой области знания. В процессе разработки функциональных схем цифровых устройств отчетливо выделяются два характерных этапа. На первом этапе, который можно назвать структурным проектированием, заданный неформально алгоритм разработчик представляет в виде последовательности некоторых операторов, таких, как получение результата, счет, преобразование кода, передача информации. При этом он старается использовать ограниченный набор общепринятых операторов. При использовании этих операторов, как правило, алгоритм можно представить довольно небольшим их числом. Структура алгоритма становится обозримой, понятной, легко читаемой и однозначной. На основе полученной структуры алгоритма формулируются технические требования к схемам, реализующим отдельные операторы. По техническим требованиям в качестве функциональных узлов схемы можно применить либо готовые блоки в интегральном исполнении, либо, если таких микросхем в наличии нет, синтезировать их из более простых элементов. Подобный синтез первоначально производится при помощи алгебры логики, после чего по полученным функциям строится эквивалентная схема. Однако, как правило, синтезированные схемы хуже их аналогов в интегральном исполнении. К этому приводят следующие обстоятельства: большее время задержки, большие габариты, большее потребление энергии. Поэтому результативного проектирования цифровых устройств разработчик должен уметь: выбрать наиболее приемлемый вариант решения поставленной задачи, работать с алгеброй логики, знать основные цифровые элементы и уметь их применять, по возможности знать наиболее простые и распространенные алгоритмы решения основных задач. Знание наиболее распространенных инженерных приемов в проектировании устройств позволит в будущем сразу воспользоваться готовой схемой, не занимаясь бесполезной работой. Необходимо заметить, что реализация схемы гораздо сложнее, чем простое решение задачи в алгебре логики и наборе полученной функции из логических элементов. В действительности даже, казалось бы, самые простые элементы, необходимо включать по определенной схеме, знать назначения всех выводов. Необходимо знать, чем различаются элементы в пределах серии. Понимание внутренней логики микросхемы особенно важно именно для специалистов по автоматике и промышленной электронике, поскольку цифровые микросхемы изначально создавались для выполнения строго определенных функций в составе ЭВМ. В условиях автоматики и радиотехники они часто выполняют функции, не запланированные в свое время их разработчиками, и грамотное использование микросхем в этих случаях прямо зависит от понимания логики их работы. Хорошее знание тонкостей функционирования схем узлов становится жизненно необходимым при поиске неисправностей, когда нужно определить, имеется ли неисправность в данном узле или же на его вход поступают комбинации сигналов, на которые схема узла не рассчитана. Составление тестов, а тем более разработка само проверяемых схем также требуют очень хороших знаний принципов работы узлов.

Целью данной курсовой работы является:

1.       закрепление основных теоретических положений дисциплины «Цифровая схемотехника»;

2.       приобретение практических навыков проектирования цифровых устройств и узлов;

.        приобретение навыков применения систем автоматического проектирования и ЭВМ при решении задач разработки цифровых узлов и устройств.

В мы будем проектировать цифровое устройство для измерения длительности пауз между положительными импульсами, поступающими на вход устройства. Полученные данные будут выводиться на семисегментный знаковый индикатор типа АЛС 324Б или аналогичный ему. Также эти данные будут выдаваться во внешнее устройство обработки.

1. РАЗРАБОТКА СТРУКТУРНОЙ И ФУНКЦИОНАЛЬНОЙ СХЕМ УСТРОЙСТВА


1.1 Разработка структурной схемы устройства

 

Работу проектируемого устройства можно представить следующим образом. По заднему фронту импульса начинается отсчет. При поступлении переднего фронта импульса или при превышении заданного интервала времени отсчет останавливается. Если значение в счетчике превышает заданный предел, на панели отображения выводится сигнал «ошибка». В противном случае на панели отображения отображается содержимое счетчика и величина измеренного интервала передается в устройство обработки. Описанный алгоритм изображен в приложении 1.

В состав структурной схемы войдут следующие элементы:

1.  генератор исследуемых импульсов (ГИ);

2.       блок управления (БУ);

.        счетное устройство (СУ);

.        блок индикации (БИ);

.        блок вывода в устройство обработки (БВ).

Рис. 1- Структурная схема проектируемого устройства.

При поступлении заднего фронта импульса от генератора исследуемых импульсов детектор фронтов, который входит в состав блока управления, формирует управляющий сигнал на начало счета. Формируемые тактовым генератором, входящим в состав счетного устройства, импульсы поступают на схему измерения пауз между положительными импульсами. При поступлении переднего фронта исследуемого импульса блок управления формирует сигнал останавливающий .счет. При этом длина измеренной паузы выводится на блок индикации и через блок вывода, который содержит схему преобразования параллельного кода в последовательный, в устройство обработки.

 

1.2 Разработка функциональной схемы устройства

 

Построим функциональную схему проектируемого устройства.


Рис.2 - Функциональная схема устройства

 

Функциональная схема состоит из следующих блоков:

1. Устройство выделения фронтов построим на двух ждущих мультивибраторах (ЖМВ1 и ЖМВ2). Первый мультивибратор будет срабатывать по переднему фронту, т.е. выдавать сигнал после прихода переднего фронта, а второй - по заднему фронту. Фиксирование сигналов можно осуществлять с помощью триггера (Т). триггер по приходу переднего фронта вырабатывает сигнал разрешения счетчика импульсов, по приходу заднего фронта - сигнал запрещения.

. Измеритель длительности временных интервалов представляет собой счетчик, который осуществляет подсчет импульсов (СТ) и обнуляется, как только придет сигнал от ЖМВ2.

. В состав измерителя длительности временных интервалов входит логический элемент «И», выполняющий функцию фильтра (пропускает тактовые импульсы только на протяжении длительности импульса) и триггер, вырабатывающий сигнал разрешения счета.

. Преобразователь кода ПК выполнен на логических элементах «И» и «ИЛИ». Преобразует код счетчика в семисегментный код устройства отображения.

. Индикаторное устройство HG представлено в виде семисегментного индикатора. Предназначено для отображения результата измерения импульсов.

. Светодиод S служит для индикации сигналов ошибок.

1. Кодопреобразователь использует регистр с параллельным вводом и последовательным выводом кода числа - RG. Он используется для преобразования кода из параллельного в последовательный. Разрядность такого регистра nrg=nсч=12.

2. Блок управления БУ. Должен обеспечивать установку функциональных узлов в исходное состояние, своевременное подключение и отключение.

3. Генератор G формирует прямоугольные импульсы двух частот f1 и f2.

Разработанная функциональная схема и параметры функциональных элементов являются основой для проектирования принципиальной электрической схемы.

2. РАЗРАБОТКА ПРИНЦИПИАЛЬНОЙ СХЕМЫ УСТРОЙСТВА

 

2.1 Выбор элементной базы

 

При разработке устройства используем микросхемы серии К555. Маломощные быстродействующие интегральные микросхемы, предназначенные для организации высокоскоростного обмена и обработки цифровой информации, временного и электрического согласования сигналов в вычислительных системах.

Высокое быстродействие в сочетании с низкой потребляемой мощностью и большой нагрузочной способностью, широкий набор логических и интерфейсных микросхем серии К555 позволяют создавать вычислительные устройства цифровой автоматики с качественно новыми характеристиками и высокими технико-экономическими показателями.

Существенной особенностью серии К555 является наличие интерфейсных и буферных микросхем, обладающих повышенной нагрузочной способностью по выходу в состоянии высокого и низкого уровня и меньшей, по сравнению с серией К531, мощностью потребления при практически сравнимом быстродействии. По сравнению с известными сериями логических ТТЛ-микросхем, она обладает минимальным значением произведения быстродействия на рассеиваемую мощность.

В таблице 1 приведены основные параметры микросхем данной серии.

Таблица 1


2.2 Проектирование схемы детектора фронтов


Ждущий мультивибратор можно реализовать на основе микросхемы К555АГ3. Микросхема содержит два ждущих мультивибратора, формирующих одиночные импульсы напряжения прямоугольной формы с хорошей стабильностью длительности. Каждый мультивибратор имеет два входа запуска: S1 и S2 (входS1 - инверсный, S2 - прямой), а также инверсный вход обнуления R.

Рис. 3 - Схема детектора фронтов.

Запуск мультивибратора возможен в трех случаях. Первый - если на входе S1 действует логический 0, а на входе R - логическая 1, то запуск схемы происходит по положительному перепаду напряжения на входе S2. Второй - если на входах S2 и R действует логическая 1, то запуск схемы происходит по отрицательному перепаду напряжения на входе S1. Третий - если на входе S1 действует логический 0, а на входе S2 - логическая 1, то запуск схемы происходит по отрицательному перепаду напряжения на входе R.

В таблице 2 приведены все возможные комбинации сигналов логического управления мультивибратором.

Длительность выходного импульса задается параметрами навесных элементов Rτ и Сτ . Для микросхемы К555АГ3 длительность выходного импульса определяется по выражению:

tи = 0,45RτCτ

Таблица 2


Минимальная длительность выходного импульса составляет 40 нс, что ограничивается величиной паразитной емкости, равной 50 пФ. Если мультивибратор запущен, то выходной импульс можно продлить путем перезапуска схемы. Для этого на вход S1 нужно подать отрицательный перепад напряжения или на вход S2 - положительный. С момента перезапуска до окончания импульса должно пройти время, соответствующее tи. Выходной импульс можно оборвать, подав на инверсный вход сброса R напряжение логического 0.

Вывод 8 микросхемы - общий; на вывод 16 подается питающее напряжение +5 В. Потребляемый микросхемой К555АГ3 ток равен 20 мА.

Вычислим номинал времязадающего конденсатора. Пусть Rτ = 1 кОм и tи = 50 нс, тогда:

tи = 0,45RτCτ = 0,45·1·103·Cτ = 50·10-9; ═>     Cτ = 111,1·10-12 (Ф) = 111,1 (пФ)

Триггер можно реализовать на основе микросхемы К555ТР2. В таблице 3 приведены основные параметры этой микросхемы.

Таблица 3


Микросхема К555ТР2 включает четыре асинхронных RS-триггера. Особенностью первого и третьего триггеров является то, что вход S образуется как произведение S1 на S2: S = S1·S2. Таблица функционирования RS-триггера представлена в таблице 4.

Логический элемент «И» можно реализовать на основе микросхемы К555ЛИ1. Она содержит четыре логических элемента «И». Время задержки для микросхемы К555ЛИ1: , потребляемый ток Iпот = 4 мА.

Таблица 4


Схема детектора фронтов и электронного ключа отображена на рис. 3. Между микросхемой К555АГ3 и RS-триггером стоит логический элемент «И», на один вход которого подается логический нуль и предусмотрена кнопка «Start» для установки RS-триггера в исходное (нулевое) состояние. Также в качестве элемента задержки используется один элемент «И» микросхемы К555ЛИ1.

2.3 Проектирование генератора тактовых импульсов


Для работы счетчика, сдвигового регистра и регистра хранения необходим генератор тактовых импульсов. Схема генератора приведена на рис. 4. Он построен на микросхеме К555ГГ2.

Рис. 4- Схема тактового генератора.

Микросхема содержит два одинаковых автоколебательных мультивибратора с управляемой частотой генерации импульсов. Данный мультивибратор имеет только входы управления частотой повторения импульсов FI1 и FI2, инверсные входы разрешения работы E1 и E2, входы СH подключения внешнего резонатора (конденсатора или пьезоэлектрического резонатора), а также, наряду с прямыми Q, инверсные выходы.

Напряжение U на входах FI может меняться в пределах от 0 до 5 B (с повышением U повышается и частота).

Инверсный вход E является разрешающим. Генерация разрешена, если на указанный вход подавать напряжение низкого уровня, и она будет запрещена, если на этот вход подать напряжение высокого уровня. При запрете генерации на выходе Q формируется напряжение высокого уровня.

Частота следования выходных импульсов f может рассчитываться по выражению:

,

где Сτ - величина электрической емкости навесного конденсатора,

Частота генерации Uf=0...5 В меняется в пределах от 0,9 ... 1,6 МГц до 7...12 МГц при условии, что активное сопротивление и емкость нагрузки равны соответственно 677 Ом и 45 пФ.

Выводы 1 и 8 микросхемы - общие; на выводы 7 и 16 подается питающее напряжение +5 В. Потребляемый микросхемой ток питания составляет 55 мА. Максимальная частота генерации (приотсутствии внешнего конденсатора С ) не превышает 23 МГц.

Для получения частот в 600Гц и 100000Гц необходимо подключить конденсаторы разной емкости к микросхеме К555ГГ2. Определим их номиналы:


2.4 Проектирование счетного устройства

Для построения счетного устройства, которое будет считать тактовые импульсы в заданном коде, воспользуемся дискретными элементами. А именно: JK-триггерами и логическими элементами.

Данное счетное устройство будет состоять из трех двоично-десятичных четырехразрядных счетчиков, соединенных последовательно. Ограничимся рассмотрением только одного счетчика, так как остальные два будут идентичны первому.

Составим таблицу функционирования одного счетчика (таблица 5)

Таблица 5

Предыдущее Последующее

состояние                   состояние

Q0

Q1

Q2

Q3

Q0

Q1

Q2

Q3

CR

0

0

0

0

0

0

0

1

0

0

0

0

1

0

0

1

0

0

0

0

1

0

0

0

1

1

0

0

0

1

1

0

1

0

0

0

0

1

0

0

0

1

0

1

0

0

1

0

1

0

1

1

0

0

0

1

1

0

0

1

1

1

0

0

1

1

1

1

0

0

0

0

1

0

0

0

1

0

0

1

0

1

0

0

1

0

0

0

0

1


Qn

J

K

Qn+1

0

0

0

0

1

0

0

1

0

1

0

1

1

1

0

1

0

0

1

0

1

0

1

0

0

1

1

1

1

1

1

0


Составляем таблицы переходов для каждого из тригиров



Q0



 


00

00

00

00

 


00

00

01

00

 


*

*

*

*

 


11

10

*

*

 



Q1




00

00

00

00


11

11

10

11


*

*

*

*


00

00

*

*



Q2



 


00

01

10

11

 


00

01

10

11

 


*

*

*

*

 


00

00

*

*

 




Q3




01

10

10

01


01

10

10

01


*

*

*

*


01

10

*

*


На основании полученных таблиц составляем таблицы минимизации входов каждого из тригиров:

 00 01 11 10  00 0 0 0 0  01 0 0 0 0  11 * * * *  10 0 1 * *  CR = Q0Q2;

 00 01 11 10  00 1 * * 1  01 1 * * 1  11 * * * *  10 1 * * *  J3 = 1;

 00 01 11 10  00 * 1 1 *  01 * 1 1 *  11 * * * *  10 * 1 * *  K3 = 1;

 00 01 11 10  00 0 1 * *  01 0 1 * *  11 * * * *  10 0 0 * *  J2 = 0Q3; 00 01 11 10  00 0 0 1 0  01 * * * *  11 * * * *  10 0 0 * *  ; 00 01 11 10  00 * * * *  01 0 0 1 0  11 * * * *  10 * * * *  ;




 

00

01

11

10

00

0

0

0

0

01

0

0

1

0

11

*

*

*

*

10

*

*

*

*



00

01

11

10

00

*

1

0

01

*

*

1

0

11

*

*

*

*

10

*

*

*

*



00

01

11

10

00

*

*

*

*

01

*

*

*

*

11

*

*

*

*

10

0

1

*

*

;            ;       ;

Строим схему данного счетчика (рис. 5). В качестве JK-триггеров используем триггеры К555ТВ6. Их основные характеристики приведены в таблице 6. Управление по входам J и K осуществляется следующим образом:

при J = K = 0 происходит хранение информации;

при J = K = 1 триггер переключается в противоположное состояние каждым синхроимпульсом;

при J = 1, K = 0 триггер перейдет в единичное состояние из Q = 0 или хранит 1;

при J = 0, K = 1 триггер перейдет в нулевое состояние из Q = 1 или хранит 0.

В качестве элементов «И» используем логические элементы все той же микросхемы К555ЛИ6

микропроцессорный импульс счетный индикация

Таблица 6


Рис. 5- Схема двоично-десятичного счетчика.

2.5 Проектирование блока вывода в устройство обработки

Для вывода в устройство обработки необходимо чтобы код длительности измеренного интервала был последовательным. Для преобразования кода из параллельного в последовательный используем сдвиговые регистры с параллельным вводом и последовательным выводом информации.

Для реализации сдвигового регистра целесообразно взять стандартный регистр К555ИР16.

Регистр является сдвигающим и предназначен для хранения четырехразрядного слова, а также преобразования параллельного кода в последовательный и наоборот. Кроме этого, в регистре может включаться режим третьего состояния выходов (режим высокоимпедансного состояния Z). Его основные параметры: f = 30 МГц; Iпот = 20,5 мА; .

Параллельная запись четырехразрядного кода происходит синхронно по срезу тактового импульса и при действии напряжения логической 1 на входе управления режимом L; состояние входов VR и OE при этом безразлично. В случае последовательной записи на входе L устанавливается напряжение логического 0. Запись и сдвиг кода вправо также совершаются по срезу тактового импульса; состояние входов D и OE при этом безразлично. Выход последовательного кода организуется с выхода Q4.

Режим высокого импеданса вводится при подаче напряжения логического 0 на вход OE.

Рис. 6- Схема блока вывода в устройство обработки.

Для построения 12 разрядного регистра сдвига необходимо соединить 3 регистра последовательно, причем выход Q3 предыдущего регистра соединяется со входом VR следующего.

Схема блока вывода в устройство обработки изображена на рис. 6.

2.6 Проектирование блока индикации

Для построения блока индикации нам понадобятся регистр для хранения информации, преобразователь (дешифратор) двоичного кода 8-4-2-1 в код семисегментного индикатора и семисегментный индикатор.

В качестве регистра хранения используем регистр К555ИР15. Он предназначен для хранения четырехразрядного слова. Особенностью регистра является режим третьего состояния выходов (режим высокоимпедансного состояния Z). Для включения этого режима достаточно на любой из инверсных входов OE (или на оба) подать напряжение логической 1. При включении указанного режима регистр спосоен записывать, хранить и сбрасывать (обнулять) информацию. Для обнуления регистра необходимо на вход R подать напряжение логической 1: состояние входов OE, L, С при этом безразлично. Основные параметры регистра: f = 25 МГц; Iпот = 20 мА; .

Запись информации в регистр производится синхронно по фронту тактового импульса при действии напряжения логического 0 на обоих инверсных входах управления L. При действии напряжения логической 1 хотя бы на одном из входов L регистр хранит предыдущую информацию.

Для преобразования двоичного кода 8-4-2-1 в код для семисегментного индикатора используем микросхему К555ИД18. Так как у нас на индикатор будут выводиться числа от 0 до 9, то не имеет значения, какой будет код - двоичный 8-4-2-1 или двоично-десятичный код 8-4-2-1. Дешифратор-преобразователь ИД18 двоично-десятичного кода 8-4-2-1 в семисегментный служит для управления светодиодными индикаторами типа АЛС324Б. Обычное преобразование кода реализуется при LT = RBI = 0 и BI/RBO = 1. Для гашения индикатора необходимо подать BI/RBO = 0. Режим бланкирования реализуется при LT = 1, RBI = 0. В этом режиме BI/RBO является выходом, на котором появляется логический нуль, если на входах DI код нуля, при этом все сегменты гаснут. При этом если на входы DI поступит код, отличный от нуля, то дешифратор, как в обычном режиме, обеспечивает высвечивание соответствующих цифр. Такое селективное гашение обеспечивает индикацию только значащих цифр в многоразрядном десятичном коде. В этом случае BI/RBO микросхем старших разрядов соединяют с RBI младших последовательно. Основные параметры данного дешифратора приведены в таблице 7.

Для индикации данных будем использовать индикаторы типа АЛС324Б - это знаковые индикаторы желто-зеленого свечения. Их основные параметры: Iпр. max = 25 мА; Uпр = 3,6 В; Uобр. max = 5 В; P = 720 мВт; высота знаков 7,5 мм; масса 2 г.

Резисторы R1-R7 выбираем равными 330 Ом.

Схема блока индикации приведена на рис. 7.

Таблица 7


Рис. 7- Схема блока индикации.

2.6 Проектирование блока управления

Для согласования отдельных узлов устройства необходима схема управления. В ее функции входит следующее:

управление записью данных в регистры сдвига и регистры хранения;

управление сдвигом данных записанных в регистры сдвига;

прекращение передачи данных в устройство обработки после передачи 12 разрядов;

Анализ вышесказанного, позволяет сделать следующие выводы о необходимых компонентах схемы управления:

1)      для управления сдвигом потребуется счетчик с пересчетом до 12;

2)      для управления записью и прекращением передачи потребуется электронный ключ на триггере;

)        для согласования по времени переключения логических элементов потребуются элементы задержки.

Предлагаемая схема управления изображена на рис. 9.

По заднему фронту изменяемого импульса с выхода детектора фронтов на входную схему задержки поступает отрицательный импульс. Со схемы задержки этот импульс поступает на инверсный вход S триггера (электронного ключа). На инверсном выходе Q формируется логический нуль и через элемент задержки поступает на вход логического элемента «ИЛИ», через который до этого уже прошла логическая единица и разрешила запись информации в регистры сдвига с выхода схемы подсчета (L = 1) и запись в регистры хранения (L1 = L2 =0). Также эта логическая единица поступает на логический элемент «И», на второй вход которого подаются тактовые импульсы с выхода тактового генератора, и пройдя через линию задержки попадает на входы синхронизации регистров хранения и сдвига.

При этом у нас счетчик начинает считать тактовые импульсы и при достижении значения 12 выдает отрицательный импульс, который поступает на инверсный вход R триггера и переволит его в нулевое состояние, тем самым закрывая тактовым импульсам путь через элемент «И».

Рис. 9 - Схема блока управления.

В качестве триггера используем микросхему К555ТМ2. Ее основные характеристики приведены в таблице 8.

Таблица 8


В качестве счетчика используем микросхему К555ИЕ7. Ее основные характеристики приведены в таблице 9.

Таблица 9


Счетчики типа ИЕ7 - реверсивные счетчики с раздельными входами +1, -1 и с синхронной предустановкой. При W = 1, R = 0 счетчик подсчитывает количество импульсов, поступающих на входы +1 и -1. При W= 0, R = 0 двоичный код со входов D по фронту импульса либо +1, либо -1 переписывается на выход.

В качестве логических элементов «НЕ» используем микросхему К555ЛН1. Она содержит шесть логических элементов «НЕ». Время задержки для микросхемы К555ЛИ1: , потребляемый ток Iпот = 6,6 мА.

3. РАСЧЕТ ПАРАМЕТРОВ УСТРОЙСТВА


В качестве параметров устройства приводятся временные диаграммы работы основных блоков. Эти диаграммы приведены в приложении 2.

Для оценки работы устройства необходимо найти потребляемую мощность. Она равна сумме мощностей, потребляемых каждым элементом в отдельности. Таблица потребления мощности каждым элементом :

Элемент

Потребл. мощность, Вт

Кол-во

К555АГ3

0,1

1

555ТР2

0,035

1

555ЛИ7

0,019

2

555ЛЛ3

0,017

2

К555ТВ6

0,002

6

555ЛН1

0,198

1

КМ555НЕ19

0,001

2

К555ЛИ6

0,002

3

555ИР25

0,17

3

555ИДЗ

0,001

3

К555ИВЗ

0,002

3

К555ИД18

0,002

3

АЛС324А

0,3

3


Р=0,1*1+0,035*1+0,019*2+0,017*2+0,002*6+0,198*1+0,001*2+0,002*3+0,17*3+0,001*3+0,002*3+0,002*3+0,3*3= 2,31 (Вт)

ЗАКЛЮЧЕНИЕ


В процессе выполнения курсовой работы было рассчитано цифровое устройство, предназначенное для подсчета длительности импульса на входе системы. Подобное устройство может найти самое широкое применение в различных областях электроники. В принципе в системе есть все блоки, необходимые для работы реальных цифровых устройств. Есть блок обнаружения информации (ждущий мультивибратор), блок обработки информации (счетчик), блок синхронизации (генератор тактовых импульсов и делители частоты), преобразователь кода, блок индикации, устройство передачи данных. При незначительных изменениях данная схема может быть применена для решения реальных задач. Данная курсовая работа учит проектировать цифровые устройства по техническому заданию, строить алгоритм их работы, разрабатывать принципиальную схему, выбирать необходимые электрические элементы, синтезировать нестандартные узлы на более простых элементах, анализировать работу цифровых устройств, строить временные диаграммы их работы.

СПИСОК ЛИТЕРАТУРЫ

1. Зубчук В.И. и др.: Справочник по цифровой схемотехнике.- К.: Техника, 1990.- 448 с.: ил.

2. Методические указания к курсовой работе по дисциплине «Цифровая схемотехника» на тему «Проектирование цифрового устройства».

3. Цифровые и аналоговые интегральные микросхемы: Справочник / С.В.Якубовский, Л.И.Ниссельсон, В.И.Кулешова и др.; Под ред. С.В.Якубовского.- М.: Радио и связь, 1990.- 496 с.: ил.

4. Цифровые интегральные микросхемы: Справочник/ М.И.Богданович и др .- Мн.: Беларусь, 1991.- 493 с.: ил.

5. Шило В.Л. Популярные цифровые микросхемы: Справочник.- М.: Металлургия, 1988.- 352 с.: ил.

ПРИЛОЖЕНИЕ 1. АЛГОРИТМ РАБОТЫ УСТРОЙСТВА

Похожие работы на - Проектирование цифрового устройства

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!