Микросхема радиомодема норвежской фирмы CHIPCON

  • Вид работы:
    Дипломная (ВКР)
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    1,85 Мб
  • Опубликовано:
    2012-06-28
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Микросхема радиомодема норвежской фирмы CHIPCON

АННОТАЦИЯ

Дипломный проект посвящен разработке устройства для передачи телеметрической информации с удаленного (возможно подвижного) объекта на компьютер. Устройство может быть применено в различных распределенных системах в случаях, когда необходимо наблюдать за объектами во время движения, или когда прокладка кабеля от объекта до диспетчерской не возможна, экономически не выгодна, либо когда требуется максимально скоростное развертывание телеметрической сети (например, аварийные ситуации). Использование компьютера позволяет производить обработку полученной информации, а также её хранение с эффективным использованием запоминающих устройств. Разработка выполнена с использованием импортной элементной базы. Изготовлен макет устройства, проведены его испытания и измерения ряда характеристик. Дипломный проект оформлен в виде пояснительной записки, содержащей 80 листов, и графической части - 4 листа формата А1.

SUMMARY

degree project is devoted to development of the device for transfer of the telemetering information with remote (probably mobile) object on a computer. The device can be applied in the various distributed systems in cases when it is necessary to observe the objects during movement or when the lining of a cable from object up to controller's office is not possible, is not efficient economically, or when maximum high-speed expansion of a telemetering network (for example, emergencies) is required. Use of a computer allows to make processing of the received information, and its storage with an effective utilization of storage devices. Development is executed with use of import element base. The breadboard model of the device is made, its tests and measurement of some characteristics are carried out. The degree project is made out as an explanatory note containing 80 sheets, and a graphic part containing 4 sheets of А1 format.

ТЕХНИЧЕСКОЕ ЗАДАНИЕ

. Область применения: организация беспроводных сетей промышленной телеметрии, робототехника, дистанционное управление, охранные технологии, организация «последней мили» телекоммуникационных сетей.

. Назначение: беспроводная передача информации.

. Технические требования: минимально возможное потребление энергии источника питания, диапазон частот - ДМВ (дециметровый),

.1 Состав изделия и требования конструкции: минимальные массогабаритные характеристики.

.2 Условия эксплуатации: умерено-холодный климат.

. Экономические показатели

. Требования по охране труда и окружающей среды

СОДЕРЖАНИЕ

ВВЕДЕНИЕ

. АНАЛИТИЧЕСКИЙ ОБЗОР РАДИОМОДЕМОВ

. ВЫБОР И ОПИСАНИЕ СХЕМЫ РАДИОМОДЕМА

. РАСЧЕТНАЯ ЧАСТЬ

.1 Расчет времени работы от автономного питания

.2 Расчет ФНЧ

. ТЕХНОЛОГИЧЕСКАЯ ЧАСТЬ

. ЭКСПЕРИМЕНТАЛЬНАЯ ЧАСТЬ

. ОХРАНА ТРУДА

.1 Анализ опасных и вредных производственных факторов на рабочем месте оператора

.2 Физически опасные и вредные производственные факторы

.3 Психофизиологические опасные и вредные производительные факторы

.4 Меры защиты от опасных и вредных производственных факторов

.5 Расчет производственного освещения

.6 Пожарная безопасность

.7 Вывод

. ЭКОНОМИЧЕСКИЙ РАСЧЕТ

.1 Оценка трудоемкости на научно-исследовательскую работу

.2 Определение плановой себестоимости проведения НИР

ЗАКЛЮЧЕНИЕ

СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ

ПРИЛОЖЕНИЕ

ВВЕДЕНИЕ

Быстро развивающийся технический прогресс предъявляет с каждым днем все большие требования к качеству производственных процессов. Одной из основных задач в деле достижения высочайших показателей качества производства, является четкий и быстрый контроль, а затем автоматизированная обработка данных о протекании производственного процесса. В настоящее время эта задача становится все более актуальной, учитывая постоянное увеличение конкуренции, борьбу за снижение тарифов и издержек и, как результат, поддержание прибыльности работы предприятия. Так одним из способов является внедрение систем дистанционного сбора информации и дистанционного централизованного управления производственным процессом.

Существенной трудностью в построении распределенных сетей управления и сбора информации является выбор и построение транспортной сети, которая отвечает за доставку собранной измерительной и управляющей информации. В данной работе будет разработан телеметрический радиомодем, который является одним из основных элементов для построения беспроводных сетей автоматического контроля и управления производственным процессом.

В настоящее время, активно развивающееся производство интегральных микросхем, предлагает новые, все более интегрированные изделия. Увеличение интеграции позволяет уменьшить общее количество радиоэлементов в изделии, что напрямую влияет на важнейшие показатели проектируемого изделия, такие как надежность, гибкость, себестоимость, энергопотребление, габариты.

В данной работе применена микросхема радиомодема норвежской фирмы CHIPCON.

1. АНАЛИТИЧЕСКИЙ ОБЗОР

Поиск оптимального решения при организации автоматизированных комплексов на обширных территориях приводит к целому комплексу проблем, одна из которых - организация надежной связи между удаленными объектами и пунктами сбора и анализа информации. Эта задача на территории нашей страны обретает свои, иногда не до конца понимаемые за рубежом оттенки. Климатические условия, масштабность, большие расстояния и человеческий фактор сплелись здесь в один узел противоречивых требований. Не секрет, что проводные линии связи наиболее надежны, но при построении протяженных каналов передачи информации могут стать нерентабельны. Кроме того с сожалением приходится признать факт, что как показала практика, в густонаселенных районах нередки случаи вандализма со стороны "охотников за медью", разрушающих дорогостоящие коммуникации (6).

Поэтому при построении распределенных сетей телеметрии и управления радиосвязь зачастую оказывается единственно приемлемым решением. Хотя и здесь масса сложностей. Выбор аппаратуры, частотного диапазона и мощности, обеспечивающих надежную связь в условиях загрязненного промышленными помехами радиоэфира с одной стороны и не создание помех собственными сигналами другим радиостанциям часто подталкивают к использованию дорогостоящих импортных систем цифровой беспроводной связи, которая к тому же с трудом лицензируется на территории России.

.1 Анализ существующих радиомодемов

.1.1 Радиомодем «Гамма»

Маломощный радиомодем "Гамма" (6) предназначен для организации автоматизированных комплексов на обширных территориях, организации связи между удаленными объектами и пунктами сбора и анализа информации. С помощью модемов "Гамма" можно передавать цифровую информации от телеметрических датчиков измерительного, контрольного и технологического оборудования, устанавливаемого на стационарных объектах. Эксплуатация радиомодема "Гамма" допускается без регистрации в органах Госсвязьнадзора. Внешний вид модема показан на рис. 1.1.

Рисунок 1.1- Радиомодем «Гамма»

Существует следующие модификации модема:

. "Гамма-4151-1" - радиомодем внешний бытовой в пластмассовом корпусе;

. "Гамма-4151-2" - радиомодем внешний в пластиковом поликарбонатном корпусе, с установкой на DIN-рельс;

. "Гамма-4151-3" - радиомодем внешний в металлическом, пылебрызгозащищенном корпусе;

. "Гамма-4152" - радиомодем встроенный.

Основные технические характеристики

Несущая частота 433.92 MHz ±0.2%

Режим передачи симплекс

Режимы работ "прозрачный", адресный, пакетный (с поддержкой ретрансляции пакета)"

Количество частотных каналов 8

Мощность передатчика (max) 10 мВт

Нестабильность частоты 5 х 10-6

Метод модуляции F1D

Девиация частоты 50 KHz

Внешние интерфейсы RS-232, 9600 bps

Максимальный размер пакета 64 кБит

Адрес 8 Бит Количество адресов (для адресного режима) 255

Рабочий диапазон температур -30…+55 °С

Напряжение питания (номинал/допустимый диапазон) 10/5-15 в

Потребляемый ток (прием/передача) 40/70 мА

Габаритные размеры 40 х 102 х 24 мм

.1.2 Радиомодем «Невод»

Рисунок. 1.2- Радиомодем «Невод»

Невод-1 - радиомодем для телеметрии (7).

Особенности конструкции:

Передача данных на расстоянии до 10 км в режиме точка-точка.

Встроенный режим ретрансляции для протяженных распределенных сетей телеметрии.

Интерфейс RS-232/RS-485 на скоростях 1200-38400 bps (задается пользователем)

Скорость по эфиру 1200 bps.

Конфигурируется стандартным PC-терминалом.

Устанавливается на DIN-рейку.

Внешний вид модема показан на рис. 2.2.

Основные технические характеристики:

Частота передатчика фиксированная 433.92 MHz (±0.2%)

Скорость передачи 1200 bps

Режим передачи полудуплекс

Выходная мощность передатчика 100 мвт

Антенна 50 ом; несимметричная; BNC

Интерфейс RS-232 (3/5-проводной, CTS/RTS); RS-485

Способ крепления модема на DIN рейку

Энергетика Питание +10 ... 25 в (от внешнего источника питания постоянного тока)

Ток потребления не более 200 мА (при Uпит=12 в)

Габаритные размеры 92 х 70 х 95 мм

.1.3 Радиомодем «Эрика»

Рисунок. 1.3- Радиомодем «Эрика»

Радиомодем "Эрика-ДМ" (8) предназначен для передачи цифровой информации, получаемой через последовательный порт RS-232, по радиоканалу. В модеме встроены телеметрические входы и выходы, что позволяет строить на его основе простейшие системы для сбора информации или управления внешними устройствами. Кроме того, конструктивно предусмотрена интеграция "Эрика-ДМ" с GPS приемниками в одном корпусе, благодаря чему он может использоваться в системах контроля за мобильными объектами.

Внешний вид модема показан на рис. 1.3.

Основные технические характеристики

Модем

Тип модуляции FFSK или внешняя

Скорость передачи данных 2400/4800 bps

Протокол связи АХ.25 "прозрачный"

Встроенная телеметрия 4 входа; 4 выхода (ОК)

Поддержка GPS интерфейса NMEA-0185

Интерфейс с компьютером RS-232

Напряжение питания и ток потребления 7.5-12.6 в; 25 мА

Температурный режим работы -30 ... +60 °С

Габаритные размеры и масса 45 х 10 х 150 мм; 50 г

Приемопередатчик

Диапазон частот 146-174; 440-470 MHz

Мощность излучения 0.5 - 4 Вт

Режимы работы симплекс; полудуплекс

Канальный разнос 12.5 / 25 KHz

Количество каналов 8

Субтональные сигналы CTCSS и DCS

Время переключения прием/передача 30 мсек

Напряжение питания 7.5 - 12.6 в

Ток потребления [ передача (4 Вт) / прием ] 2 / 0.1 А

Габаритные размеры и масса 55 х 30 х 160 мм; 250 г

.1.4 Радиомодем «Струна-3»

Радиомодем "Струна-3" можно использовать для передачи любых цифровых потоков со скоростью до 4800 bps. (Л) Внешний вид модема показан на рис. 1.4.

Рисунок 1.4- Радиомодем «Струна-3»

Основные технические характеристики:

Диапазон рабочих частот 166.7 - 167.5 MHz

Выходная мощность в нагрузку не менее 1 Вт

Мощность внеполосных и побочных излучений не более 2.5 мкВ

Нестабильность частоты не более 10 х 10-6

Шаг перестройки 25 KHz

Напряжение питания +10 ... 13.5 В

Потребляемый ток не более 600 мА

Диапазон рабочих температур -30 ... +50°С

Габаритные размеры передатчика 115 x 87 x 26 мм

2. ВЫБОР И ОПИСАНИЕ СХЕМЫ РАДИОМОДЕМА

.1 Структурная схема устройства

Схема радиомодема должна обеспечивать выполнение задач указанных в ТЗ. Согласно задания радиомодем должен обеспечивать связь датчика физической величины (температура, давление, перемещение, вибрация), либо источника цифровых данных (стандартные информационные стыки RS-232, RS-485) с хост-контроллером. При этом должно обеспечиваться максимально длительное время работы от автономного источника питания. Соответственно в состав устройства должны входить следующие устройства:

. Интерфейс RS-232 и/или RS-485, либо иной требуемый ТЗ;

. Интерфейс для внешних датчиков физических величин;

. Модем

. Приемник

. Передатчик

. Энергонезависимая память

. Процессорное ядро для управления вышеперечисленными

устройствами.

Исходя из вышеизложенного, функциональная схема устройства может иметь вид показанный на рис. 2.1.

Рисунок 2.1- функциональная схема радиомодема

Рассмотрм более подробно конструктивный состав каждого из функциональных блоков.

Интерфейс RS-232

Интерфейс (рис. 2.2) состоит из преобразователя уровней и последовательного асинхронного приемопередатчика. Преобразователь уровней приводит в соответствие сигналы примененные на физическом уровне RS232

Рисунок 2.2- Структурная схема интерфейса RS-232

Интерфейс датчиков физических величин

Структурная схема показана на рис. 3.3. Состоит из преобразователей дискретных величин и величин имеющих значения, изменяющиеся в диапазоне напряжений. Дискретные величины через устройство защиты от перенапряжений подаются непосредственно в процессорное ядро, переменные величины после прохождения через ограничитель подаются на вход 10 разрядного АЦП, где приводятся в цифровой вид, после чего подаются в процессорное ядро.

Рисунок 2.3- Структурная схема датчиков физических величин

Модем

Модем предназначен для кодирования информации поступающей в передатчик и декодирования информации поступающей из приемника. Модем обеспечивает кодирование в режиме NRZ, Манчестерское кодирование, режим асинхронного приемопередатчика и прозрачный режим. Выбор того или иного режима определяется приоритетной задачей выполняемой в данный момент и основывается на таких параметрах как скорость передачи, достоверность передачи, ширина занимаемой полосы частот, тип передаваемой информации. Вид используемой модуляции - FSK. Этот вид модуляции обеспечивает наиболее выгодное соотношение скорость передачи/ширина занимаемой полосы частот/помехоустойчивость

Приемник

Приемник выполнен по супергетеродинной схеме с одним преобразованием частоты. Настройка на нужную частоту производится однопетлевым программируемым синтезатором частоты. Принимаемый сигнал через цепи входного согласования-преселекции подаются на вход малошумящего входного усилителя, после усиления сигнал подается в смеситель, где происходит преобразование частоты. После смесителя сигнал поступает в демодулятор, который соединяется с модемом и приемным буфером.

Передатчик

Передаваемый сигнал, проходя через модем, становится пригодным для передачи. Сигнал с модема модулирует ГУН синтезатора частот, модулированный высокочастотный сигнал поступает на усилитель мощности, после усиления, через цепи согласования поступает в антенну.

Энергонезависимая память

Энергонезависимая память предназначена для сохранения информации о текущей конфигурации устройства, для ведения логов неисправностей и критических состояний и может применяться для сохранения любой служебной информации по желанию заказчика.

Процессорное ядро

При выборе процессорного ядра важно сформулировать конкретные цели, что упрощает выбор подходящего устройства. Обычно учитываются следующие характеристики (24):

) Система команд

) Архитектура процессора

) Емкость памяти

) Быстродействие

) Прерывания

) Операция прямого доступа к памяти

) Вспомогательные схемы

Учитывая вышесказанное, можно применит ядро INTEL MCS-51, т.к. оно, обеспечивая выполнение всех требуемых функций, имеет самую низкую стоимость, множество наработанных алгоритмов и программ и de-facto стало промышленным стандартом.

.2 Принципиальная схема модема

Принципиальная схема модема показана на рис. 2.4. Модем построен с использованием самой современной элементной базы. Центральным элементом модема является микросхема СС1010 производства «CHIPCON» (1). Микросхема представляет собой микромощный УКВ трансивер диапазона 300-1000МГц, и 8051-совместимый микроконтроллер, интегрированные в одном кристалле. СС1010 разработана для FSK систем работающих в диапазоне ISM/SRD (315, 433, 868 и 915 МГц), но легко может быть запрограммирована для работы на другой частоте между 300 и 1000 МГц. СС1010 имеет плотную интеграцию контроллера и приемопередатчика, что делает решения типа «система на кристалле» очень легкими в использовании, и уменьшает время проектирования и внедрения изделия. СС1010 идеально подходит для изделий имеющих главными особенностями автономное питание, гибкую функциональность и миниатюрные размеры (2).

Основные особенности СС1010:

) Программируемый частотный диапазон;

) Высокая чувствительность приемника (-107 дБм (0,9 мкв), при 1,2 кБ/сек);

) Программируемая выходная мощность (от -20 до 10 дБм);

) Очень низкий потребляемый ток (9,1 мА в режиме приема);

) Малое число внешних элементов, отсутствие внешнего высокочастотного коммутатора и фильтра ПЧ;

) Высокая скорость перестройки синтезатора частот, позволяющая использовать технологию “Frequency hopping”;

) FSK модуляция со скоростью передачи информации 76,8 кБ/сек;

) Аппаратный кодек Manchester II и NRZ.

) Индикатор уровня принимаемого сигнала

Особенности высокопроизводительного, низкопотребляющего, 8051-совместимого микроконтроллера:

) Оптимизированное 8051-совместимое ядро, с повышенной в 2,5 раза по сравнению со стандартным, производительностью;

) Двойной указатель программного счетчика;

) Два режима пониженного энергопотребления;

) Встроенный отладочный интерфейс;

) 32 кБ встроенной энергонезависимой электрически перепрограммируемой памяти программ;

) Типичная стойкость памяти программ - 20000 циклов перезаписи;

) Программируемая блокировка чтения и записи памяти программ для увеличения сохранности программного обеспечения;

) 2048 + 128 байт внутреннего ОЗУ;

) Аппаратный кодер/декодер DES;

) Встроенный детектор понижения напряжения питания;

) Трехканальный 10-битный АЦП

) Сторожевой таймер;

) Часы реального времени;

) Четыре таймера/ два ШИМ;

) Два программируемых универсальных асинхронных приемопередатчика;

) Мастер SPI интерфейса;

) 26 конфигурируемых портов ввода/вывода;

) Генератор случайных чисел;

Структурная схема СС1010 показана на рис. 2.5

Рисунок 2.5

Модем питается от двух щелочных элементов питания типоразмера ААА. Для компенсации неидентичности разрядных характеристик элементов питания применен преобразователь напряжения на коммутируемых конденсаторах DA2, который используется для выравнивания напряжения на элементах (14). Микросхема поочередно подключает конденсатор С6 к элементу G1 или G2. Если напряжение на G1 больше, чем на G2, то С6 заряжается от G1 и разряжается на G2. В результате этого напряжение на G1 уменьшается, а на G2 увеличивается. Это приводит к выравниванию напряжения на G1 и G2. Потери в выравнивающем устройстве обусловлены потреблением тока микросхемой ICL7660, которое не превышает 4-5 мкА, что сравнимо с током саморазряда щелочных элементов.

Питание подается после подсоединения рабочего системного разъема, в котором установлена перемычка между контактами 5 и 10. Таким образом, отпадает надобность в дополнительном выключателе питания. Основная цепь питания защищена от переполюсовки включением защитного диода VD10. Напряжения питания получаемое с элементов питания подается на делитель напряжения, выполненный на резисторах R10 и R11, с которого подается на коммутатор аналоговых входов АЦП DD1. Это сделано для возможности контроля состояния элементов питания. Аналоговая часть питается через RC-фильтры, номиналы элементов которых рекомендованы производителем. Входы питания цифровых и аналоговых цепей зашунтированы блокировочными конденсаторами согласно рекомендациям производителя.

Модем имеет 4 входа для получения информации от дискретных внешних датчиков, 2 входа для получения информации от аналоговых датчиков, а так же выходы для управления внешними устройствами, что позволяет использовать модем для систем телеуправления. Входы защищены от превышения предельно допустимых значений напряжений с помощью диодов с барьером Шотки, включенных параллельно входам. Для защиты диодов напряжение на них подается через токоограничительный резистор. Для исключения влияния наводок на неподключенные входы и, соответственно, уменьшения стабильности работы модема в условиях воздействия помех и наводок, входы подтянуты на общую шину через резисторы R7, R9. Входы аналоговых величин так же защищены диодами и резистором, но не подтянуты на общую шину. При не использовании этих входов, необходимо соединить их с общей шиной для исключения влияния внешних наводок. Три дополнительных дискретных входа реализованы на печатной плате и зарезервированы - на системный разъем они не выведены. Выходы буферированы «цифровыми транзисторами» VT1-VT5 DTC143TK, включенными с «открытым коллекторм» и имеющим нагрузочную способность 50 мА. Пять выходов выведены на системный разъем.

Частота задающего генератора контроллера стабилизирована кварцевым резонатором BQ1. Кварцевый резонатор BQ2 используется для часов реального времени.

Цепь согласования с антенной выполнена согласно рекомендациям производителя и рассчитана с помощью специального программного обеспечения Smart RF Studio 4.81 (1), представленного производителем микросхемы.

Для программирования памяти программ на печатной плате устройства предусмотрен разъем Х1, который через адаптер параллельного порта, преобразующего напряжения логических уровней подключается к персональному компьютеру.

Драйвер интерфейса RS-232 выполнен на специализированной микросхеме преобразователя уровней DA1 MAX3218, производства фирмы МАХIM (16). От известных аналогов микросхема отличается тем что сконструирована специально для устройств имеющих автономное питание. Этот драйвер автоматически переходит в энергосберегающий режим при отсутствии активности и имеет сверхширокий диапазон питающих напряжений от 1,8 до 4,2В, что позволяет питать устройство непосредственно от одной литиевой батарейки или двух щелочных и позволяет обойтись без дополнительного DC-DC конвертера, что увеличивает экономичность конструкции.

Все элементы радиомодема располагаются на двусторонней печатной плате. Печатная плата размещена в герметичном алюминиевом корпусе. Внутри корпуса так же находятся элементы питания. На корпусе располагаются два разъема. Через один подключается антенна, второй разъем - системный. Через него производится подключение внешних датчиков и исполнительных устройств, включается внутренне питание, либо подключается внешнее. На этот разъем выведен интерфейс RS-232.

.3 Описание программной части

Общая идеология построения программного обеспечения устройства заключается в том, что бы иметь максимальную гибкость конфигурации. Достигается это использованием возможности самопрограммирования энергонезависимой памяти. «Незагруженный» модем имеет в своем программном обеспечении только процедуры инициализации радиочастотного периферийного оборудования и процедуры приема во внешнюю энергонезависимую память кода исполняемой программы, которая содержит всю «пользовательскую» функциональность устройства.

Инициализирующая процедура настраивает радиочастотную часть на фиксированную частоту. Все модемы при первом включении имеют одинаковый идентификатор TEI (Terminal Endpoint Identifier) - индивидуальный номер модема, по которому производится адресация конкретного модема. При загрузке «пользовательского» программного обеспечения необходимо назначить номер модема. Таким образом можно одновременно программировать несколько модемов, после чего назначить номера, оставив включенным только тот модем которому назначается номер. В дальнейшем можно разработать процедуру автоматического присвоения номеров по аналогии с существующими системами, например как назначение IP адресов в LAN.

Способы повышения устойчивости программного обеспечения модема были выведены после анализа и обобщения практического опыта написания и использования программ, взятого из (17).

Для повышения устойчивости программа сделана в виде одного «большого цикла». Главный цикл производит первоначальную инициализацию устройства, модификацию «пользовательского» программного обеспечения и вызов «пользовательских» процедур. В случае зависания процедуры сторожевой таймер сделает сброс, после чего программа опять начнет выполняться в главном цикле и можно принять решение о повторном запуске или модификации кода процедуры. Часть памяти программ в которой размещена программа начальной инициализации защищена аппаратно от изменения.

В связи с тем что это учебная разработка, программное обеспечение для выполнения сложных операций для сбора и передачи данных, разрабатываться не будет. Разработанное программное обеспечение производит начальную инициализацию всех необходимых внутренних устройств модема и демонстрирует его работоспособность.

3. РАСЧЕТНАЯ ЧАСТЬ

.1 Расчет элементов кварцевого генератора

Кварцевый генератор сконструирован для параллельного режима работы кварцевого резонатора. Для правильной работы кварцевого генератора требуются нагрузочные конденсаторы. Значения нагрузочных конденсаторов зависят от нагрузочной емкости резонатора CL, определяемая документацией на резонатор (5). Общая емкость конденсаторов подключенных между выводами кварцевого генератора должна быть равно нагрузочной емкости резонатора (2), и определяется по формуле 3.1. Паразитная емкость определяется емкостью выводов резонатора и емкостью печатного монтажа. Обычно общее значение паразитной емкости равно 3-5 пФ.

Схема подключения кварцевого резонатора и нагрузочных конденсаторов показана на рис. 3.1.

Рисунок 3.1- Схема подключения кварцевого резонатора

 (3.1)

Примем С1 равным С2, тогда из (3.1) следует, что:

 (3.2)

При необходимости подстройки рабочей частоты кварцевого генератора параллельно С2 может быть подключен подстроечный конденсатор.

.2 Расчет цепи защиты входов

Согласно (2) максимальное входное напряжение на входе СС1010 не может превышать значения (3.3) и (3.4).

 (3.3)

 (3.4)

Таким образом, цепь защиты входов должна обеспечивать защиту от превышения положительного и отрицательного максимально опустимого напряжения. В выбранной схеме защиты входа,максимальное и минимальное напряжение поступающее на входмикросхемы определяются падением напряжения на открытом диоде по (3.5) и (3.6). Схема защиты входа показана на рис. 3.2.

Рисунок 3.2 - Схема защиты входа

 (3.5)

 (3.6)

Согласно (3.5) и (3.6) прямое напряжение защитного диода должно составлять не более 0,3В. Из (Л) выбираем диод с нужным падениемнапряжения. Прямое напряжение у диода с барьером Шотки типа MBR0520L составляет 300 мВ, при прямом токе 100 мА. Для защиты отперегрузки по току диоды защищает токоограничительный резистор R1.

Максимальное обратное напряжение для диода MBR0520L составляет 20В. Это напряжение будет максимально допустимым для входа. Падение напряжения на диоде составит:

 (3.7)

Согласно закона Ома (3.8) сопротивление защитного резистора определится как (3.9).

 (3.8)

 (3.9)

Из стандартного ряда сопротивлений выберем максимально близкое

значение сопротивления защитного диода:

 (4.10)

3.3 Расчет времени автономной работы изделия

Низкое энергопотребление - это очень важный фактор для систем с автономным питанием. Длительность времени работы батарей напрямую связано с энергопотреблением.

Для уменьшения энергопотребления могут использоваться несколько особенностей. В КМОП схемах энергопотребление линейно зависит от рабочей частоты. Таким образом важно не использовать актовую частоту большую чем необходимо для нормального функционирования изделия. Зависимость потребляемого микросхемой модема тока от тактовой частоты процессора показана на рис. 3.3.

Важным фактором является длительность рабочего цикла изделия. Изделие использующее режим сохранения энергии может периодически переключаться из активного режима в режим пониженного энергопотребления. Средний потребляемый ток будет зависеть от отношения времени активной работы и времени режима пониженного энергопотребления.

Трансивер СС1010 имеет много различных режимов пониженного энергопотребления. Процессорное ядро и приемопередатчик могут включаться независимо и раздельно.

СС1010 имеет возможность подключения двух кварцевых резонаторов: высокочастотный - от 3 до 24 МГц и низкочастотный - 32,768 кГц. В различных режимах работы изделия есть возможность рать тактовую частоту от различных источников, сокращая таким образом общее энергопотребление.

СС1010 имеет три режима энергопотребления: активный, остановленный и спящий. В активном режиме ядро и периферия работают. В остановленном режиме процессорное ядро остановлено, но периферия полностью функционирует, состояние регистров и ОЗУ сохраняется.

Рисунок 3.3- Зависимость потребляемого микросхемой модема тока от тактовой частоты процессора

В спящем режиме остановлено процессорное ядро и вся периферия кроме тактового генератора АЦП. Свойства режимов пониженного энергопотребления сведены в таблицу 3.1.

Таблицу 3.1

Свойства режимов пониженного энергопотребления


Рассчитаем время автономной работы изделия от двух батарей типоразмера ААА и емкостью 1300 ма/час. Изделие с периодичностью в 10 сек опрашивает датчик, передает информацию пакетом длиной в 64 бит, принимает подтверждение и переходит в остановленный режим.

Время автономной работы рассчитывается как отношение емкости батареи к потребляемому изделием току, по формуле 3.10

 (3.11)

Тактовый генератор с кварцевым резонатором 14 МГц выходит на рабочий режим за 1,5 мсек. В это время микросхема потребляет 14 мА.

Передача сообщения длиной 64 бит со скоростью 2,4 кБит происходит в течении 27 мсек. При передаче на полной мощности на частоте 433 МГц общее потребление передатчика составит 34 мА. После отправки сообщения устройство принимает подтверждение приема. Прием сообщения длиной 64 бит занимает 27 мсек. Общее энергопотребление СС1010 в режиме приема составляет 16 мА. После приема подтверждения устройство переходит в остановленный режим длящийся 10 сек. Общее активное время рабочего цикла составит:

 (3.12)

Средний потребляемый ток в активном рабочем цикле составит:

 (3.13)

Средний потребляемый ток за время полного рабочего периода составит:

 (3.14)

Устройство в своем составе так же содержит другие периферийные узлы, которые так же потребляют энергию. Так как в данной задаче эти узлы не используются, то переведены в спящий режим.

Сама микросхема СС1010 в остановленном режиме потребляет 26 мкА.

Энергопотребление в спящем режиме составит:

МАХ7213 - 1 мкА;

АТ45DB021 - 2 мкА;- 10 мкА;

СС1010 (Idle) - 26 мкА;

Итого суммарное энергопотребление дополнительных периферийных устройств составит:

(3.15)

Общий потребляемый изделием ток составит сумму тока потребляемого микросхемой модема в активном режиме и тока потребляемого в остановленном режиме и составит:

 (3.16)

Время работы от источника питания емкостью 1300 мА/час составит:

 (3.17)

Для быстрой оценки времени работы изделия в различных вариантах CHIPCON предоставляет специальное программное обеспечение.

3.4 Расчет фильтра низких частот

Для уменьшения паразитных излучений передатчика и увеличения селективности приемника во входных цепях радиотракта применяется ФНЧ. Фильтр включается между антенной и приемником либо передатчиком. Фильтр рассчитан по методике изложенной в (25).

Согласно (26) побочные излучения передатчика в УКВ диапазоне не могут быть более 25 мкВт.

Частота среза фильтра равна центральной частоте несущей плюс полуширина излучаемого сигнала.

В качестве основных элементов фильтра используются индуктивности и емкости. Порядок расчета следующий: по формулам (3.18), (3.19), (3.20), (3.21), (3.22), (3.23), исходя из значения граничной частоты fc (частота среза фильтра) и R (сопротивление источника и нагрузки), определяембазовые коэффициенты LB и CB. Вычисляем окончательные значения Сn и Ln, основываясь на на значениях коэффициентов Кт, взятых из таблицы 4.2. Нумерация элементов С1, L1, Cn и Ln на рис. 4.2 соответствует третьему столбцу в таблице 4.2

 (3.18)

 (3.19)

 (4.20)

 (3.21)

 (4.22)

 (3.23)

Рисунок 3.2-ФНЧ

Таблица 3.2


Крутизна спада характеристики в полосе задержания составляет 18 дБ/октаву, и обусловлена совместным влиянием каждого элемента, образующего фильтр (6 дб/октаву)

Технологическая часть

При конструировании РЭА на печатных платах используют следующие методы. Моносхемный применяют для несложной РЭА. В том случае вся электрическая схема располагается на одной ПП. Моносхемный метод имеет ограниченное применение, так как очень сложные ПП неудобны при настройке и ремонте РЭА. Схемно-узловой метод применяют при производстве массовой и серийной РЭА. При этом методе часть электрической схемы, имеющая четкие входные и выходные цепи (каскады УВЧ, УПЧ, блоки развёрток и т.п.), располагается на отдельной плате. Ремонтопригодность таких изделий больше. Недостаток - сложность системы соединительных проводов, связывающихотдельные платы. Функционально-узловой метод применяют в РЭА с использованием микроэлектронных элементов. При этом ПП содержит проводники коммутации функциональных модулей в единую схему. На одной плате можно собрать очень сложную схему. Недостаток этого метода - резкое увеличение сложности ПП. В ряде

случаев все проводники не могут быть расположены на одной и даже обеих сторонах платы. При этом используют многослойные печатные платы МПП, объединяющие в единую конструкцию несколько слоёв печатных проводников, разделённых слоями диэлектрика. В соответствии с гостом различают три метода выполнения ПП:

ручной;

полу автоматизированный;

автоматизированный;

Предпочтительными являются полу автоматизированный,

автоматизированный методы.

В техническом прогрессе ЭВМ играют значительную роль: они значительно облегчают работу человека в различных областях промышленности, инженерных исследованиях, автоматическом управлении и т.д. Особенностями производства ЭВМ на современном этапе являются: Использование большого количества стандартных элементов. Выпуск этих элементов в больших количествах высокого качества - одно из основных требований вычислительного машиностроения. Массовое производство стандартных блоков с использованием новых элементов, унификация элементов создают условия для автоматизации их производства. Высокая трудоёмкость сборочных и монтажных работ, что объясняется наличием большого числа соединений и сложности их выполнения вследствие малых размеров. Наиболее трудоёмким процессом в производстве ЭВМ занимает контроль операций и готового изделия. Основным направлением при разработке и создании печатных плат является широкое применение автоматизированных методов проектирования с использованием ЭВМ, что значительно облегчает процесс разработки и сокращает продолжительность всего технологического цикла.

Основными достоинствами печатных плат являются: - Увеличение плотности монтажа и возможность микро-миниатюризации изделий.

Гарантированная стабильность электрических характеристик.

Повышенная стойкость к климатическим и механическим воздействиям.

Унификация и стандартизация конструктивных изделий.

Возможность комплексной автоматизации монтажно-сборочных работ.

Условия эксплуатации ЭВМ могут быть различными, они зависят в основном от климатических воздействий, которые необходимо учитывать при выборе материалов и конструктивных особенностей ЭВМ, кроме того, они определяют программу и объём контрольных испытаний. Для определения влияния окружающей среды на работу ЭВМ рассматривают следующие зоны климата: умеренную, тропическую, арктическую, морскую. Для ракетной и космической аппаратуры учитывают специфику больших высот. Исходя из этого наиболее подходящим, является способ изготовления устройства на печатной плате (ТЭЗ 2го уровня. Так как печатная плата обладает большой поверхностью и будет быстрее охлаждаться, она имеет преимущество перед другими технологиями. Типы производства: (Таблица 1.) - Единичным называется такое производство, при котором изделие выпускается единичными экземплярами. Характеризуется: Малой номенклатурой изделий, малым объёмом партий, Универсальным оснащение цехов, Рабочими высокой квалификации. - Серийное - характеризуется ограниченной номенклатурой изделий, изготавливаемых повторяющимися партиями сравнительно небольшим объёмом выпуска. В зависимости от количества изделий в партии различают: мелко средне и крупно серийные производства. - Универсальное - использует специальное оборудование, которое располагается по технологическим группам, Техническая оснастка универсальная, Квалификация рабочих средняя. Массовое производство характеризуется: узкой номенклатурой и большим объёмом изделий, изготавливаемых непрерывно; использованием специального высокопроизводительного оборудования, которое расставляется по поточному принципу. В этом случае транспортирующим устройством является конвейер. Квалификация рабочих низкая. Также различной может быть серийность: в зависимости от габаритов, веса и размера годовой программы выпуска изделий определяется тип производства. Тип производства и соответствующие ему формы организации работ определяют характер технологического процесса и его построение. Так как по условию технического задания объём производства равен 100 изделиям в год, то производство должно быть среднесерийным.

Достоинствами ПП являются: - Увеличение плотности монтажа. - Стабильность и повторяемость электрических характеристик. - Повышенная стойкость к климатическим воздействиям. - Возможность автоматизации производства. Все ПП делятся на следующие классы: a) Опп - односторонняя печатная плата. Элементы располагаются с одной стороны платы. Характеризуется высокой точностью выполняемого рисунка. b) ДПП - двухсторонняя печатная плата. Рисунок располагается с двух сторон, элементы с одной стороны. ДПП на металлическом основании используются в мощных устройствах. c) МПП - многослойная печатная плата. Плата состоит из чередующихся изоляционных слоев с проводящим рисунком. Между слоями могут быть или отсутствовать межслойные соединения. d) ГПП - гибкая печатная плата. Имеет гибкое основание, аналогична ДПП. e) ППП - проводная печатная плата. Сочетание ДПП с проводным монтажом из изолированных проводов. Достоинства МПП: - Уменьшение размеров, увеличение плотности монтажа. - Сокращение трудоёмкости выполнения монтажных операций.

Металлизация сквозных отверстий

Данный метод основан на том, что слои между собой соединяются сквозными, металлизированными отверстиями.

Достоинства:

Простой ТП.

Высокая плотность монтажа.

Большое количество слоёв.

Попарное прессование

Применяется для изготовления МПП с четным количеством слоёв.

Достоинства:

Высокая надёжность.

Простота ТП.

Допускается установка элементов как с штыревыми так и с планарными выводами.

Метод послойного наращивания

Основан на последовательном наращивании слоёв. Достоинства: Высокая надёжность. Мпп изготавливают методами построенными на типовых операциях используемых при изготовлении ОПП и ДПП. Исходя из соображений технологичности производства, метод металлизации сквозных отверстий, наиболееподходит к выбранной схеме среднесерийного производства. Так как на среднесерийном производстве используется автоматизация производства, для разработки чертежей платы используется программа автоматической трассировки P CAD, которая создала 4 слоя платы размером 160ґ180 мм. Из этого получается один двухсторонний слой и два односторонних слоя для внешних слоёв. Выходные файлы системы P-CAD позволяют значительно автоматизировать дальнейший технологический процесс в таких сложных операциях как сверление межслойных отверстий.

Составление блок схемы типового техпроцесса

Правильно разработанный ТП должен обеспечить выполнение всех требований, указанных в чертеже и ТУ на изделие, высокую производительность. Исходными данными для проектирования технологического процесса являются: чертежи детали, сборочные чертежи, специализация деталей, монтажные схемы, схемы сборки изделий, типовые ТП.

Описание ТП

Метод металлизации сквозных отверстий применяют при изготовлении МПП. Заготовки из фольгированного диэлектрика отрезают с припуском 30 мм на сторону. После снятия заусенцев по периметру заготовок и в отверстиях, поверхность фольги защищают на крацевальном станке и обезжиривают химически соляной кислотой в ванне. Рисунок схемы внутренних слоёв выполняют при помощи сухого фоторезиста. При этом противоположная сторона платы должна не иметь механических повреждений и подтравливания фольги. Базовые отверстия получают высверливанием на универсальном станке с ЧПУ. Ориентируясь на метки совмещения, расположенные на технологическом поле. Полученные заготовки собирают в пакет. Перекладывая их складывающимися прокладками из стеклоткани, содержащими до 50% термореактивной эпоксидной смолы. Совмещение отдельных слоёв производится по базовым отверстиям. Прессование пакета осуществляется горячим способом. Приспособление с пакетами слоёв устанавливают на плиты пресса, подогретые до 120…130°С. Первый цикл прессования осуществляют при давлении 0,5 Мпа и выдержке15…20 минут. Затем температуру повышают до 150…160°С, а давление - до 4…6 Мпа. При этом давлении плата выдерживается из расчёта 10 минут на каждый миллиметр толщины платы. Охлаждение ведётся без снижения давления. Сверление отверстий производится на универсальных станках с ЧПУ СМ-600-Ф2. В процессе механической обработки платы загрязняются. Для устранения загрязнения отверстия подвергают гидроабразивному воздействию. При большом количестве отверстий целесообразно применять ультразвуковую очистку. После обезжиривания и очистки плату промывают в горячей и холодной воде. Затем выполняется химическую и гальваническую металлизации отверстий. После этого удаляют маску. Механическая обработка по контуру, получение конструктивных отверстий и Т.Д. осуществляют на универсальных, координатно-сверлильных станках (СМ-600-Ф2) совместимых с САПР. Выходной контроль осуществляется автоматизированным способом на специальном стенде, где происходит проверка работоспособности платы, т.е. её электрических параметров. Затем идет операция гальванического осаждения меди. Операция проводиться на авто операторной линии АГ-44. На тонкий слой осаждается медь до нужной толщины. После этого производится контроль на толщину меди и качество её нанесения. Далее

производиться обработка по контуру ПП. Эта операция производиться на станке CМ-600-Ф2 с насадкой в виде дисковой фрезы по ГОСТ 20320-74. В этой операции удаляется ненужный стеклотекстолит по краям платы и подгонка до требуемого размера. Затем методом сеткографии производиться маркировка ПП. операция производиться на станке CДC-1, который требуемым штампом произведет оттиск на ПП маркировки. Весь цикл производства ПП заканчивается контролем платы. Здесь используется автоматизируемая проверка на специальных стендах.

Выбор материала

Для производства Многослойных печатных плат используются различные стеклотекстолиты. Если по условию технического задания устройство должно работать в условиях с повышенной температурой, для производства внутренних слоёв платы используется двухсторонний фольгированный стеклотекстолит с повышенной теплостойкостью СТФ-2. Для внешних слоёв печатной платы используется аналогичный односторонний фольгированный стеклотекстолит с повышенной теплостойкостью СТФ-1.

Основы безопасности производства печатных плат

Одним из наиболее распространенных методов создания электрических цепей в радиоэлектронной, электронно-вычислительной и электротехнической аппаратуре является применение печатного монтажа, реализуемого в виде односторонних, двусторонних и многослойных печатных платах. Объем аппаратуры на печатных платах и их производство в отечественной промышленности и за рубежом неуклонно увеличивается. Именно поэтому знание опасных и вредных факторов производства, возникающих при изготовлении печатных плат, является одним из непременных условий подготовки специалистов электронной промышленности. К заготовительным операциям относят раскрой заготовок, разрезку материала и выполнение базовых отверстий, изготовление слоев на печатных платах. В крупносерийном производстве разрезку материала выполняют методом штамповки в специальных штампах на эксцентриковых прессах с одновременной пробивкой базовых отверстий на технологическом поле. В серийном и мелкосерийном производстве широкое распространение получили одно- и многоножевые роликовые ножницы, на которых материал разрезается сначала на полосы заданной ширины, а затем на заготовки. Разрезку основных и вспомогательных материалов (прокладочной стеклоткани, кабельной бумаги и др.), необходимых при изготовлении многослойных печатных плат в мелкосерийном и единичном производстве, осуществляют с помощью гильотинных ножниц. Таким образом, выполнение заготовительных операций по раскрою материала сопряжено с опасностью повреждения рук работающего в случае попадания их в зону между пуансоном и матрицей, в частности верхним и нижним ножом гильотинных ножниц, при ручной подаче материала. Наибольшую опасность представляет работа пресса в автоматическом режиме, требующая большого напряжения, внимания и осторожности работающего, так как всякое замедление движения рабочего может привести к травматизму. Во избежание попадания рук рабочего в опасную зону применяют систему двурукого включения, при котором пресс включается только после одновременного нажатия обеими руками двух пусковых кнопок. В прессах и ножницах с ножными педалями для предотвращения случайных включений педаль ограждают или делают запорной. Часто, кроме этого, опасную зону у пресса ограждают при помощи фотоэлементов, сигнал от которых автоматически останавливает пресс, если руки рабочего оказались в опасной зоне. При ручной подаче заготовок необходимо применять специальные приспособления: пинцеты, крючки и т.д. Радикальным решением вопроса безопасности является механизация и автоматизация подачи и удаления заготовок из штампа, в том числе с использованием средств робототехники. Базовые отверстия получают различными методами в зависимости от класса печатных плат. На печатных платах первого класса базовые отверстия получают методом штамповки с одновременной вырубкой заготовок. Базовые отверстия на заготовках плат второго и третьего классов получают сверлением в универсальных кондукторах с последующим развертыванием. В настоящее время в серийном и крупносерийном производстве традиционное сверление базовых отверстий по кондуктору на универсальных сверлильных станках уступило место сверлению на специализированных станках (например, модель AB-2 фирмы "Schmoll", ФРГ).

Таким образом, станки в одном цикле со сверлением предусматривают установку фиксирующих штифтов, плотно входящих в просверленное отверстие и скрепляющих пакет из 2-6 заготовок. Во избежание травм при работе на сверлильных станках необходимо следить за тем, чтобы все ремни, шестерни и валы, если они размещены в корпусе станка и доступны для прикосновения, имели жесткие неподвижные ограждения. Движущиеся части и механизмы оборудования, требующие частого доступа для осмотра, ограждаются съемными или открывающимися устройствами ограждения. В станках без электрической блокировки должны быть приняты меры, исключающие возможность случайного или ошибочного их включения во время осмотра. Во избежание захвата одежды и волос рабочего его одежда должна быть заправлена так, чтобы не было свободных концов; обшлага рукавов следует застегнуть, волосы убрать под берет. Образующуюся при сверлении, резке материала заготовок печатных плат пыль необходимо удалять с помощью промышленных пылесосов.

4. ЭКСПЕРИМЕНТАЛЬНАЯ ЧАСТЬ

.1. Измерение мощности передатчика

Измерение мощности передатчика производится при работе изделия на нагрузку имеющую сопротивление 50 Ом. Измерение производится измерителем мощности М3-51. Проведено несколько измерений при различных установках регистра PA_POW, управляющего выходной мощностью. Результаты измерений представлены в таблице 4.1. Схема стенда для измерения выходной мощности представлена на рис. 4.1.

Рисунок 4.1

Таблица 4.1

телеметрический информация компьютер модем

Измерение чувствительности приемника производится встроенным АЦП микросхемы модема. В тракте ПЧ модема имеется цепь измерения уровня сигнала ПЧ. Сигнал ПЧ через внутренний коммутатор входов подается на 10 разрядный АЦП. Для приведения соответствия между измерениями АЦП и уровнем принимаемого сигнала необходимо выполнить процедуру калибрации. Калибровочный сигнал, представляющий собой немодулированный ВЧ сигнал с частотой 433,920 МГц, с тестового ГВЧ подается на вход приемника модема. После установления необходимого уровня сигнала на входе приемника, производится считывание значения измеренного АЦП. Данные полученные при калибровке занесены в таблицу 4.2.

Таблица 4.2


Для получения тестового сигнала используется аналогичный радиомодем, прошедший калибровку выходной мощности. Радиомодем через калиброванный аттенюатор генерирует испытательный сигнал для аналогичного радиомодема. Внутренняя управляющая программа генерирует и постоянно излучает в эфир тестовый информационный пакет, состоящий из преамбулы длиной 20 чип, и самого тестового информационного пакета длиной 64 бит. Содержание пакета постоянно. Тестируемый модем принимает сигнал прошедший через аттенюатор, декодирует информационный пакет, проверяет его содержимое. При достижении уровня ошибок 10-3 зажигается сигнальная лампа. Значение полученное АЦП, а так же коэффициент ошибочно принятых пакетов через встроенный последовательный порт передается на персональный компьютер, где отображается в терминальной программе. При этом испытание считается законченным. Измерения проведены для различных значений скорости передачи информации в режиме модуляции «Манчестер». Данные измерений представлены в таблице 4.2.2. Схема стенда для измерения чувствительности приемника представлена на рис. 4.2.

Таблица 4.3


Рисунок 4.2

.ОХРАНА ТРУДА

.1 Анализ опасных и вредных производственных факторов на рабочем месте оператора

На рабочем месте оператора персонального компьютера присутствуют следующие вредные производственные факторы (согласно ГОСТ 12.0.003-74 “Классификация вредных и опасных производственных факторов”):

Физические:

) недостаточная освещенность рабочей зоны;

) прямая и отраженная блесткость;

) повышенный уровень статического электричества;

) повышенный уровень электромагнитных излучений;

) повышенный уровень шума;

) неблагоприятные параметры микроклимата.

Психофизиологические:

) статические физические перегрузки;

) нервнопсихические перегрузки:

) умственное перенапряжение;

) перенапряжение анализаторов.

.2 Физически опасные и вредные производственные факторы

Недостаточная освещенность рабочей зоны. Недостаточная освещенность рабочей зоны естественным светом возникает вследствие недостаточной площади световых проемов, их загрязненности, а также нерационального расположения рабочего стола относительно источников естественного света.

Недостаточное освещение отрицательно влияет на сохранность зрения человека, состояние его центральной нервной системы, снижает производительность труда, увеличивает утомление работника.

Для выполнения зрительной работы, связанной с восприятием информации с экрана, совершенно непригоден способ освещения всего помещения. Имеющийся опыт создания световой обстановки при восприятии информации с экрана, свидетельствует о том, что наибольший объем информации может быть воспринят в “темноте” без всякого подсвета. Однако при необходимости регистрации этой информации, яркость рабочего места, где происходит эта регистрация, создаваемая местным освещением, должна соответствовать яркости экрана (75-100 кд/м2). При этом следует предусмотреть, чтобы экран ЭЛТ был защищен от прямого попадания на него света специальным щитом. При отсутствии такой защиты и, следовательно, уменьшении контраста изображения объем и точность воспринимаемой информации может сократиться на 30%.

Выполнение зрительной работы при недостаточном освещении может привести к развитию некоторых дефектов глаза. Дефекты глаза делят на два основных вида:

близорукость ложная и истинная (миопия);

дальнозоркость истинная (гиперметропия) и старческая (пресбиопия).

При организации рационального производственного освещения следует избегать наличия в поле зрения работающих блесткости. Нарушение зрительных функций блесткостью называется слепимостью. Чем выше яркость поля адаптации, тем меньше вероятность явления слепимости.

В условиях данного проекта естественное освещение является не возможным, так как это закрытое помещение, а используется искусственное. Недостаточная освещенность снижает скорость различения деталей (иногда делает это вообще невозможным), что сказывается на производительности труда, увеличивает утомляемость работника и т. д.

Вследствие этого необходима разработка искусственного освещения рабочей зоны оператора.

Прямая и отраженная блесткость. Прямая блесткость - попадание в поле зрения ярких источников света.

Отраженная блесткость возникает из-за высокого коэффициента отражения экрана. Блесткость вызывает слепящий эффект. От слепящего действия света сначала наиболее всего ухудшается контрастная чувствительность глаза, затем острота зрения. Границей дискомфортности зрительного ощущения является М=40, а при М=60 возникают болезненные ощущения.

Повышенный уровень статического электричества. Источниками электростатического поля на рабочем месте программиста являются дисплей и периферийные устройства. Воздействие статического электричества на человека может проявляться в виде слабого длительно протекающего тока или в форме кратковременного разряда через его тело. Такой разряд вызывает у человека рефлекторное движение, что может привести к травмам. Электростатическое поле повышенной напряженности отрицательно влияет на организм человека, вызывая функциональные изменения со стороны центральной нервной, сердечно-сосудистой и других систем организма. Для ограничения вредного воздействия электростатического поля проводится его нормирование в соответствии с СанПиН 2.2.2.542-96.

Напряженность электромагнитного поля на расстоянии 50 см. вокруг ВДТ по электрической составляющей должна быть не более:

в диапазоне частот 5 Гц - 2 кГц; 25 В/м

в диапазоне частот 2 - 400 кГц 2,5 В/м

Плотность магнитного потока должна быть не более:

в диапазоне частот 5 Гц - 2 кГц; 250 нТл

в диапазоне частот 2 - 400 кГц. 25 нТл

Поверхностный электростатический потенциал не должен превышать 500 В

Повышенный уровень электромагнитных излучений. Основным источником электромагнитных полей на рабочем месте оператора персонального компьютера является электронно-лучевая трубка дисплея.

Электромагнитные поля оказывают специфическое воздействие на ткани человека как биологические объекты. Они изменяют ориентацию клеток или цепей молекул в соответствии с направлением силовых линий электрического поля, ослабляют биохимическую активность беловых молекул, нарушают функции сердечно-сосудистой системы, органов дыхания, пищеварения и некоторых биохимических показателей крови (изменяется соотношение эритроцитов и лейкоцитов крови, возникает лейкоцитоз).

Электромагнитные поля неблагоприятно влияют на зрение, вызывают головную боль, нарушение сна, снижение аппетита.

ЭЛТ дисплея является источником электромагнитных излучений с частотами 10-16кГц.

Оператор персонального компьютера находится в ближней зоне (зона индукции), где еще не сформирована бегущая электромагнитная волна, поэтому эту зону можно характеризовать как электрической, так и магнитной составляющей электромагнитного поля.

Контроль уровней электрического поля осуществляется по значению напряженности электрического поля, выраженной в В/м.

Контроль уровней магнитного поля осуществляется по значению напряженности магнитного поля, выраженной в А/м или по значению магнитной индукции, выраженной в Тл.

Повышенный уровень шума. Источниками шума на рабочем месте программиста являются как сами ЭВМ, так и периферийное оборудование.

Шум - неблагоприятно действующие на человека звуки. Он является хаотическим сочетанием звуков различной частоты и интенсивности. Источником звука в ЭВМ и периферийном оборудовании являются колеблющиеся твердые части, к которым можно отнести системы вентиляции оборудования, дисководы, каретки и приводы принтеров. Так же источником высокочастотных шумов может являться электронная часть ЭВМ и периферийного оборудования.

Длительное воздействие интенсивного шума может привести к патологическому состоянию слухового органа, к его утомлению и возникновению профессионального заболевания - тугоухости, то есть к потере слуха. Шум вызывает изменение сердечно-сосудистой системы, сопровождаемое нарушением тонуса и ритма сердечных сокращений, изменяется артериальное давление, приводит к нарушению нормальной функции желудка. Особенно подвержена воздействию центральная нервная система. Отмечается изменение органов зрения, вестибулярного аппарата, увеличение внутричерепного давления, нарушение обменных процессов организма.

.3 Психофизиологические опасные и вредные производительные факторы

Статические физические перегрузки. При работе с ЭВМ применяют клавишный ввод. Рабочие циклы при работе на клавишных аппаратах, как правило, многократно повторяются. Большое их число за рабочую смену приводит к нервно-мышечному утомлению, которое может быть основным этиологическим фактором мышечного перенапряжения и возникновения профессиональных заболеваний рук.

При статических физических перегрузках ног, плеч, шеи и рук длительно прибывают в состоянии сокращения. В них ухудшается кровообращение. Питательные вещества, переносимые кровью, поступают в мышцы недостаточно быстро, в тканях накапливаются продукты распада, в результате чего могут возникнуть болезненные ощущения.

Поскольку каждое нажатие на клавишу сопряжено с сокращением мышц, сухожилия непрерывно скользят вдоль костей и соприкасаются с тканями.

Вследствие чего могут возникнуть воспалительные процессы. Распухшие вследствие повторяющихся движений, оболочки сухожилий могут сдавить нерв. Возникает запястный синдром.

Нервнопсихические перегрузки. Нервное перенапряжение обусловлено уровнем напряжения внимания. Частое и длительное перенапряжение может служить источником ряда заболеваний сердечно-сосудистой, нервной, зрительной и других систем организма.

Умственное перенапряжение. Умственная деятельность - это деятельность, прежде всего, центрально нервной системы, ее высшего отдела коры головного мозга. При умственной работе происходит сужение сосудов конечностей и расширение сосудов внутренних органов.

Низкий уровень общего обмена при умственной деятельности не является показателем малой интенсивности обменных процессов, наоборот, потребление кислорода увеличивается в 15-20 раз по сравнению с физической работой. Возможны значительные изменения кровяного давления, пульса, повышение сахара в крови. Длительная умственная работа может привести к сердечно-сосудистым заболеваниям.

Перенапряжение анализаторов. Центральная нервная система получает информацию от внешнего мира, внешней среды с помощью чувствительных аппаратов, воспринимающих сигналы. Эти аппараты академиком И.П. Павловым названы анализаторами.

Основная характеристика анализаторов - высокая чувствительность. При работе с дисплеем, яркость сигналов значительно превышает минимальный уровень светового воздействия. Верхний предел интенсивности светового сигнала, при котором еще не нарушается работа анализаторов, составляет 10.000 кд/м2. Но крайние значения утомительны для глаз. Так признаком ненормально большой яркости изображения на сетчатке является возникновение последовательных образов. Зрительная система обладает свойством оценивать воспринимаемую яркость сигнала.

Зрительная работа требует частого переключения с одной поверхности на другую, что происходит на фоне неравномерных яркостей. Результаты исследований показывают, что работа в условиях постоянной переадаптации к яркостям, различающимся примерно в 10 раз, вызывает чувство дискомфорта уже в первые часы работы, а затем и явное переутомление. Особенно неблагоприятны такие перепады яркости, которые вызывают слепящий эффект. От слепящего действия света сначала наиболее всего ухудшается контрастная.

.4 Меры защиты от опасных и вредных производственных факторов

Основным способом защиты от статического электричества является заземление периферийного оборудования, а также увлажнение окружающего воздуха.

При отсутствии естественного освещения используется искусственное. Для общего освещения используются лампы дневного света, так как их спектр близок к естественному.

Снижение уровня шума можно добиться, применяя демпфирование, звукоизоляцию, поглощение. Демпфирование - покрытие поверхности демпферными материалами, имеющими большое внутреннее трение (мастики, специальные виды войлока, линолеум).

Звукоизоляция - снижение производственного шума на пути его распространения. С помощью звуковых перегородок легко снизить уровень шума на 30-40 дБ. Звукопоглощение - применение звукопоглощающих материалов при оборудовании комнаты.

Для предотвращения возникновения вредных последствий от статических физических перегрузок, необходимо оборудовать место так, чтобы исключить неудобные позы, длительные напряжения. Дисплей должен быть установлен на такой высоте и под таким углом, чтобы шея работника не была согнута и удерживалась в таком состоянии напряженными мышцами. Клавиатура должна располагаться так, чтобы до нее не нужно было тянуться, руки не должны быть на весу или перенапряжены. Нельзя долго находиться в одной позе. Каждый час в течении 15 мин. Необходимо заниматься каким-либо делом, сделать разминку.

Для предотвращения перенапряжения анализаторов необходимо определить яркостной режим. Для этого нужно установить уровень яркости, соотношение уровней яркости в поле зрения, уровень контраста. Оптимальная считается такая яркость, при которой проявляется контрастная чувствительность глаза, острота зрения и быстрота различения сигналов. Нижней комфортной границей уровня яркости светящихся сигналов можно считать 30 кд/м2, верхняя комфортная граница определяется значением слепящей яркости.

Яркость объектов на экране должна быть согласована с яркостью фона экрана и окружающем освещением. При обратном контрасте яркостной контраст должен находиться в пределах 85-90% с возможностью регулировки яркости объектов, а при прямом контрасте 75-80% с возможностью регулировки яркости фона. Прямой контраст предпочтительнее обратного. Оптимальное соотношение яркостей между экраном, его ближайшим окружением и дальним окружением составляет 5:2:1. Расстояние считывания информации с экрана может быть от 400мм и более.

.5 Расчет производственного освещения

Недостаточная освещенность рабочей зоны оператора, устраняется введением комбинированного искусственного освещения. Для расчета освещения необходимо знать размеры помещения. Для данного вида деятельности (дистанционный контроль производственного процесса на экране компьютера) может использоваться, любая доступная площадь, как правило, это закрытое помещение без доступа света. Для расчета возьмем помещение площадью 10.3.3 (длина А = 10 м, ширина В = 3 м, высота С = 3 м) с полным отсутствием естественного освещения.

Рассчитаем освещение. Для организации освещения рабочего места воспользуемся светильниками с люминесцентными лампами. Найдем высоту подвеса над рабочей плоскостью Н. Из рис. 5.1., представленного ниже, видно, что высота рабочей плоскости (стола) над полом равна 0,85 м. Следовательно, учитывая расстояние от потолка до светильника (. 0,15 м), расстояние от рабочей плоскости до светильника будет приближенно равно 2 м.

Найдем расстояние между центрами светильников l. Для люминесцентных светильников

(5.1)

При таком значении l возможно реализовать только один ряд светильников вдоль длинной стены.

Расстояние светильников от стен равно

(5.2)

Количество светильников определяется по формуле

(5.3)

Рисунок 5.1

Расположение светильников условно показано на рис. 5.2. Точками обозначены центры светильников.

Для нахождения требуемого светового потока одного источника необходимо предварительно найти некоторые параметры.

Коэффициент запаса, учитывающий старение ламп и загрязнение светильников, из [19] равен Kз=1.5, так как в данном помещении выделение пыли низкое.

Площадь освещаемого помещения

(5.4)

Коэффициент минимальной освещенности для люминесцентных ламп

Z=1.1,

Определим индекс помещения

(5.5)

Коэффициент отражения потолка (свежая побелка)

Рисунок 5.2

Коэффициент отражения стен (голубой цвет)


Коэффициент отражения пола (коричневый цвет)


Учитывая, что применяется светильник ЛСПО2, данным коэффициентам отражения и индексу помещения соответствует коэффициент использования светового потока


Зная теперь все необходимые значения параметров, вычислим требуемый световой поток одного источника света

(5.7)

Ближайшая по световому потоку лампа ЛБ80-4 (6220 лм), Отклонение светового потока этой лампы от рассчитанного составляет -5,5 %. Так как по требованиям СНиП 23.05.95 отклонение должно лежать в пределах (-10% - +20%), то выбранная лампа является подходящей.

.6 Пожарная безопасность

В помещении, где производится монтаж и настройка оборудования находится большое количество измерительных приборов (генераторы, осциллографы, вольтметры, частотомеры и т.д.). Все эти приборы являются потребителями электроэнергии, и при перегрузках в электрической сети, а также небрежным обращением с ними может возникнуть самовозгорание. Поэтому это помещение, в соответствии с нормами НПБ 105-95 г., можно отнести к категории В.

В соответствии с нормами пожарной безопасности НПБ 105-95 помещение, в котором расположено рабочее место оператора, по взрывопожарной и пожарной опасности относится к категории В4.

Помещение, где расположено рабочее место оператора, должно быть оборудовано двумя углекислотными огнетушителями марки ОУ-3, которые должны располагаться у входа в помещение и датчиками марки ИП-103 реагирующими на температуру.

Вывод

В данном разделе дипломной работы проведен анализ необходимых условий для работы оператора, и факторы, действующие на него в процессе работы при максимально неподходящих условиях труда, а также рекомендации к устранению или уменьшению опасных и вредных производственных факторов. Приводятся рекомендации по уменьшению пожароопасности помещения.

Данный вид деятельности оператора (видео наблюдение) является достаточно распространенным и используется достаточно часто во многих сферах обслуживания, и поэтому не предусматривает каких-то конкретных условий по выбору и использованию помещения.

6. ЭКОНОМИЧЕСКИЙ РАСЧЕТ

Расчет себестоимости научно-исследовательской работы

Основной задачей технико-экономического обоснования (ТЭО) дипломного проекта является определение величины экономического эффекта от использования в общественном производстве основных и сопутствующих результатов, получаемых при решении поставленной технической задачи в данном дипломном проекте.

В данном разделе приводится техническо-экономическое обоснование дипломной работы - расчет себестоимости научно-исследовательской работы (радиомодем для систем телеметрии). Данная научная работа ранее была проведена без учета применения в изделии ASIC (application-specific integrated circuit) и в связи с этим данные предыдущих работ на данном этапе развития радиоэлектроники надо пересматривать. Значит и рассчитать стоимость научно-исследовательской работы. На основе данных полученных при расчете, можно будет сделать вывод о целесообразности дальнейшего рассмотрения данной темы, ее анализа с помощью ЭВМ и внедрения по данным оптимизации новых усовершенствований.

.1 Оценка трудоемкости научно-исследовательской работы

Для определения трудоемкости выполнения научно-исследовательской работы прежде всего составляется перечень всех основных этапов и видов работ, которые должны быть выполнены. Научно-исследовательские работы содержат следующие этапы: разработку технического задания; выбор направления исследования; теоретические и экспериментальные исследования; обобщение и оценку. По каждому виду работ определяется также квалифицированный уровень исполнителей. Распределение работ по этапам, видам и должностям исполнителей приведена в таблице 6.1.

Таблица 6.1

Этап проведения НИР

Вид работ

Должность исполнения

1

2

3

Разработка технического задания (ТЗ)

1. Сопоставление и утверждение ТЗ на НИР

Старший научный сотрудник


1. Сбор и изучение научно-технической литературы, нормативно-технической документации и других материалов, относящихся к теме исследования.

Младший научный сотрудник


2. Составление аналитического обзора состояния вопросов по теме.

Младший научный сотрудник


3. Формирование возможных направлений решения задач, поставленных в ТЗ НИР и их сравнительная оценка.

Младший научный сотрудник


4. Выбор и обоснование принятого направления проведения исследований и способа решения поставленных задач

Младший научный сотрудник


5. Разработка общей методики проведения исследований

Младший научный сотрудник

Теоретические исследования

1. Разработка рабочих гипотез, построение модели объекта исследований, обоснование допущений

Младший научный сотрудник


2.Выявление необходимости проведения экспериментов для подтверждения отдельных положений теоретических исследований

Старший научный сотрудник


3.Обработка полученных данных

Младший научный сотрудник


4.Корректировка теоретических моделей исследования

Младший научный сотрудник

Обобщение и оценка результатов исследования

1. Обобщение результатов предыдущих этапов работы. Оценка полноты решения поставленных задач

Старший научный сотрудник


2. Разработка рекомендаций по использованию результатов проведения НИР

Младший научный сотрудник


3. Формулировка требований для ТЗ на последующие НИР и ОКР

Младший научный сотрудник


4. Составление и оформление отчета

Младший научный сотрудник


5. Рассмотрение результатов проведенной НИР и приемка работы в целом

Старший научный сотрудник


В зависимости от характера и сложности НИР, степени предварительной проработанности вопросов ГОСТ допускает исключение или дополнение этапов и отдельных видов работ, их разделение или совмещение, а также уточнение содержания.

Соотношение трудовых затрат по отдельным этапам НИР и ОКР приведены в таблице 6.2.

Таблица 6.2

Этапы НИИ и ОКР

Трудоемкость исследовательских работ, %

Разработка технического задания

4,64

Выбор направления исследования

42,86

Теоретические и экспериментальные исследования

40,12

Обобщение и оценка результатов исследования

12,38


Трудоемкость выполнения НИР определяется по сумме трудоемкости этапов и видов работ, оцениваемых экспертным путем в рабочих днях, и носит вероятностный характер, так как зависит от множества трудно учитываемых факторов. Общая трудоемкость выполнения данного НИР по данным в таблице 6.3 равна 170 дн.

Таблица 6.3

Вид работ

Трудоемкость этапа, дн.

Трудоемкость работ исполнителя, дн.

Должность исполнителя

1

2

3

4

Разработка технического задания (ТЗ)

1.Сопоставление и утверждение ТЗ на НИР

5

5

Старший научный сотрудник

Выбор направления исследования

1. Сбор и изучение научно-технической литературы, нормативно-технической документации и других материалов, относящихся к теме исследования.

20

20

Младший научный сотрудник

2. Составление аналитического обзора состояния вопросов по теме.

10

10

Младший научный сотрудник

3. Формирование направлений решения задач, поставленных в ТЗ НИР и их оценка.

5

5

Младший научный сотрудник

4. Выбор и обоснование принятого направления проведения исследований и способа решения поставленных задач.

5

5

Младший научный сотрудник

5. Разработка общей методики проведения исследований.

10

10

Младший научный сотрудник

Теоретические исследования

1. Разработка рабочих гипотез, построение модели объекта исследований, обоснование допущений.

20

20

Младший научный сотрудник

2. Выявление необходимости проведения экспериментов для подтверждения отдельных положений теоретических исследований.

5

5

Старший научный сотрудник

3. Обработка полученных данных.

25

15 10

Младший научный сотрудник, лаборант

4. Корректировка теоретических моделей исследования.

15

15

Младший научный сотрудник

Обобщение и оценка результатов исследований

1. Обобщение результатов предыдущих этапов работы. Оценка полноты решения поставленных задач.

5

5

Старший научный сотрудник

2. Разработка рекомендаций по использованию результатов проведения НИР.

5

5

Младший научный сотрудник

3. Формулировка требований для ТЗ на последующие НИР и ОКР.

5

5

Младший научный сотрудник

4. Составление и оформление отчета.

25

20 5

Младший научный сотрудник, чертежник

Рассмотрение результатов проведенной НИР и приемка работы в целом.

10

10

Старший научный сотрудник

Итого:

170

Старший научный сотрудник

25

Младший научный сотрудник

130

Лаборант

10

Чертежник

5

6.2 Определение плановой себестоимости проведения НИР

Научно-техническая продукция включает законченные научно-исследовательские, конструкторские, проектно-конструкторские, технологические и другие инновационные (внедренческие) и научно-технические работы (услуги), опытные образцы и опытные партии изделий, изготовленные в процессе выполнения научно-исследовательских и опытно-конструкторских работ в соответствии с условиями, предусмотренными в договоре (заказе) и принятые заказчиком.

В себестоимость научно технической продукции включаются затраты, необходимые для производства продукции и выполнения работ, предусмотренных техническим заданием (программой, методикой или аналогичным документом) и договором (заказом) на создание (передачу) научно-технической продукции.

В соответствии с их экономическим содержанием затраты в себестоимости группируются по следующим первичным элементам:

материальные затраты (за вычетом возвратных отходов);

затраты на оплату труда;

отчисления на социальные нужды;

амортизация основных фондов;

прочие.

Группировка по статьям калькуляции должна обеспечить выделение затрат, связанных с производством отдельных видов научно-технической продукции по конкретным договорам (заказам), которые могут быть прямо включены в их себестоимость.

Типовая группировка по статьям калькуляции включает:

материалы;

затраты по работам выполняемым сторонними организациями;

специальное оборудование для научных (экспериментальных) работ;

затраты на оплату труда работников, непосредственно связанных с

созданием научно-технической продукции;

единый социальный взнос;

прочие прямые затраты;

накладные расходы.

Научные организации, исходя из необходимости точного определения себестоимости научно-технической продукции по отдельным договорам (заказам), могут предусмотреть выделение самостоятельной статьи затраты на содержание и эксплуатацию научно-исследовательского оборудования, установок и сооружений.

Калькуляция плановой себестоимости приведена в Таблице 4.

На статью «Материалы» относятся затраты на сырье, основные и вспомогательные материалы, покупные полуфабрикаты и комплектующие изделия, необходимые для выполнения НИР. Затраты по этой статье определяются по действующим оптовым ценам с учетом транспортно-заготовительных расходов, величина которых составляет 7-10% от оптовой стоимости материалов. Так для данного вида НИР требуется только ЭВМ, то статья «Материалы» в затратах считаться не будет, т.к. ЭВМ относится к основным фондам и в статью материалы не попадает.

Амортизационные отчисления, средства на ремонт и электроэнергию входят в накладные расходы и отдельно не учитываются.

На статью «Спецоборудование для научных (экспериментальных) работ» относятся затраты на приобретение или изготовление специальных приборов, стендов, аппаратов и другого специального оборудования, необходимого для проведения конкретной НИР. Определение затрат о данной статье производится по фактической стоимости приобретения, т.е. по договорной цене с учетом транспортно-заготовительных расходов и затрат, связанных с установкой и монтажом специального оборудования, величина которых обычно составляет 12-15% от договорной цены оборудования. Затраты по данной статье сведены в таблицу 6.4.

Согласно Постановление правительства РФ от 01.01.2002 г. №1 «О классификации основных средств включаемых в амортизационные группы», срок амортизации демонстрационного набора составит 4 года. Т.к. специальное оборудование для проведения научно-исследовательской работы после ее окончания будет передано заказчику, то стоимость этого оборудования будет включена в итоговую сумму себестоимости НИР.

Таблица 6.4

Спецоборудование

Изготовитель (поставщик)

Количество

Цена за единицу, руб.

Сумма, руб.

Обоснование

Демонстрационный набор

Chipcon

1

30000

30000

Основной объект НИР

Итого: Транспортно-заготовительные работы

DHL

1

1500

1500

Доставка

Всего:

31500


На статью “Основная заработная плата” относится основная заработная плата научных сотрудников, инженерно-технических работников, лаборантов, чертежников, копировщиков и рабочих, непосредственно занятых выполнением НИР, а также заработная плата нештатного состава, привлекаемых к ее выполнению. Для расчета возьмем среднюю заработную плату соответствующих работников Омского НИИ приборостроения. Среднее число рабочих дней в месяце в 2004 году - 21 день. Суммы основной зарплаты сотрудников занятых на проведении НИР приведены в таблице 6.5.

Таблица 6.5

На статью “Дополнительна заработная плата” относится выплата, предусмотренные законодательством за непроработанное время: оплата очередных и дополнительных отпусков, оплата времени, связанного с выполнением государственных и общественных обязанностей, выплаты вознаграждений за выслугу лет и другие. Дополнительная заработная плата от основной заработной платы рассчитывается с учетом всех выходных дней в году (104), праздников (9), в итоге получаем 252 рабочих дней в году, поскольку отпуск составляет 24 дня и 7 дней больничных, то дополнительная заработная плата составит 12% от основной заработной платы: 7738,09 * 0,12 = 928,57 руб.

Размер отчислений на статью “Единый социальный взнос” составляют 35% от суммы основной и дополнительной заработной платы работников, непосредственно выполняющих НИР.

Зсн = 0,35 * (928,57 + 7738,09) = 3033,33 руб. (6.1)

На статью “Накладные расходы” включаются расходы на управление и хозяйственное обслуживание, которые в равной степени относятся ко всем выполняемым НИР. По этой статье учитывается заработная плата аппарата управления и общехозяйственных служб, затраты на содержание и текущий ремонт зданий, сооружений, оборудования и инвентаря, амортизационные отчисления на их полное восстановление и капитальный ремонт, расходы по охране труда, научно-технической информации, изобретательству и рационализации и так далее. В научных учреждениях величина накладных расходов составляет 120 - 200% от основной и дополнительной заработной платы.

Прочие прямые затраты, к которым относятся затраты на приобретение материалов специальной научно-технической информации, за использование телефонной и радиосвязи, доступ к сети Интернет и другие расходы необходимые при проведении конкретной НИР, войдут в накладные расходы.

Суммы затрат по статьям и итоговая себестоимость НИР приведены в таблице 6.6.

Таблица 6.6



ЗАКЛЮЧЕНИЕ

В данном дипломном проекте был разработан маломощный телеметрический модем. Модем обладает следующими техническими характеристиками:

) напряжение питания - 3В;

) потребляемый ток - 31мА;

) Рабочая частота - 433,920 МГц;

) Выходная мощность - 10 мВт;

) Чувствительность - 1 мкВ;

) Скорость передачи - 76,2 кБит;

) Количество входов дискретных - 4;

) Количество входов аналоговых - 2;

) Количество выходов дискретных - 5;

В проекте произведен расчет стоимости затрат на научно-исследовательскую работу по проектированию изделия. Сделан анализ опасных и вредных факторов на рабочем месте оператора телеметрической сети, выработаны рекомендации по их устранению.

Преимуществом данного изделия является применение высокоинтегрированных многофункциональных радиоэлементов, схемотехническая простота модема и чрезвычайная гибкая функциональность, что дает широчайший спектр применений в промышленности и быту.

Затраты на НИР по разработке маломощного телеметрического радиомодема составили 60533,31 рублей.

СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ

1. www.chipcon.com - компания «Chipcon», производитель микросхем СС1010

. www.chipcon.com/files/CC1010_Data_sheet_1_2.pdf - спецификация микросхемы СС1010

. www.intel.ru - компания «Intel», разработчик процессорного ядра MCS - 51

. www.atmel.com - компания «Atmel», производитель микросхем энергонезависимой памяти

. www.murata.com - компания «Murata», производитель индуктивностей и резонаторов

. www.axitel.ru/ - ЗАО «Аксион - Связь», производитель радиомодемов «Гамма»

. www.hydrogeology.ru/rus/company/index.html - ЗАО «Геолинк Консалтинг», производитель радиомодемов «Невод»

. uralfactories.ru/site.php?SMsPId=66 - ФПГ «Уральские заводы», производитель радиомодемов «Эрика»

. www.elvira.ru/ - ЗАО ПФ «Элвира», производитель радиомодемов «Струна - 3»

10.data.energizer.com/datasheets/library/primary/alkaline/energizer/consumer_oem/e92.pdf - спецификация элемента питания «Energizer»

. www.chipcon.com/files/AN_003_Antennas_1_1.pdf - Chipcon Application Note AN003 «SRD (Short Range Devices) Antennas»

12. www.caxapa.ru - Российская электроника. Каталог предприятий. Новости. Информация о размерах паттернов SMD компонентов.

. www.fairchildsemi.com - FAIRCHILD, производитель полупроводниковых компонентов

. www.rohm.com - ROHM SEMICONDUKTOR, производитель полупроводниковых компонентов

. www.vishay.com - VISHAY TELEFUNKEN, производитель полупроводниковых компонентов

. www.maxim-ic.com - MAXIM, производитель полупроводниковых компонентов

. http://www.telesys.ru/wwwboards/mcontrol/index.shtml - конференция по микроконтроллерам

. Безопасность производственных процессов: Справочник / С.В.

Белов и др. - М.: Машиностроение, 1985. - 448 с., ил.

. ГОСТ 12.0.003-74. Опасные и вредные производственные факторы. - М.: Издательство стандартов, 1984. - 4 с.

. Крючков С.Е. "Вопросы безопасности жизнедеятельности в машиностроении" Москва, 1978

. Курсовое и дипломное проектирование: Методические указания для студентов-специальности 201200. - Омск: ОмГТУ, 2000

. Опарин Ю.А. Оценка трудоемкости проектных работ в приборостроении: Методические указания и нормативные материалы к курсовому и дипломному проектированию. - Омск.: ОмГТУ, 1996.

. М. Кауфман, А. Сидман «Практическое руководство по расчетам схем в электронике» Том 2 - М.: Энергоатомиздат, 1993. - 287с.

. Рэд Э.Т., «Справочное пособие по высокочастотной схемотехнике» - М.: Мир, 1990. - 256с., ил.

. Инструкция о порядке регистрации и эксплуатации любительских радиостанций, Приложение №1 к приказу Главгоссвязьнадзора России

от 08.08.1996 №52

Похожие работы на - Микросхема радиомодема норвежской фирмы CHIPCON

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!