Система для проверки микросхем методом сигнатурного анализа

  • Вид работы:
    Дипломная (ВКР)
  • Предмет:
    Радиоэлектроника
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    1,21 Mb
  • Опубликовано:
    2010-08-09
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Система для проверки микросхем методом сигнатурного анализа

Содержание:

 

1.   Введение

2.   Постановка задачи

2.1. Назначение системы

2.2. Анализ исходной проектной ситуации

2.3. Перечень основных функций, подлежащих реализации.   

2.4. Основные технические параметры

2.5. Требования к персональному компьютеру и системе

2.6. Требования к интерфейсу пользователя

3.   Проектирование структуры системы

4.   Выбор технических и програмных средств реализации

5.   Описание принципиальных схем

6.   Проектирование  алгоритма функционирования системы

7.   Описание конструкции системы

8.   Инструкция по эксплуатации

9.   Экономическая часть

10. Вопросы охраны труда и техники безопасности

11. Заключение

12.  Литература

13.  Приложения

1.   Введение

Заводы и предприятия, выпускающие радиодетали (и в частности - микросхемы), после изготовления, но до отправки готовой продукции на склад, подвергают их контролю на работоспособность, а также соответствие техническим условиям и параметрам ГОСТ. Однако, радиодетали, даже прошедшие ОТК на заводе-изготовителе, имеют некоторый процент отказа в процессе транспортировки, монтажа или эксплуатации, что влечет за собой дополнительные затраты рабочего времени и средств для их выявления и замены (причем большую часть времени занимает именно выявление неисправных деталей).

Особенно важна 100% исправность комплектующих деталей при сборке ответственных узлов управляющих систем, когда неисправность какой-либо одной детали может повлечь за собой выход из строя других деталей, узлов, а возможно, и всего комплекса в целом.

Для обеспечения полной уверенности в работоспособности той или иной радиодетали, необходимо проверять ее на исправность непосредственно перед сборкой узла или изделия (“входной контроль” на заводах и предприятиях, занимающихся производством радиоэлектронных устройств). Если большинство радиодеталей можно проверить обычным омметром (как, например, резисторы или диоды), то для проверки интегральной микросхемы (ИМС) требуется гораздо больший ассортимент оборудования.

В этом плане хорошую помощь могло бы оказать устройство, позволяющее оперативно проверять работоспособность ИМС, с возможностью проверки как новых (подготовленных для монтажа), так и уже демонтированных из платы микросхем. Очень удобна проверка микросхем, для которых конструктивно на плате изделия предусмотрены колодки. Это позволяет производить достаточно быструю проверку радиодетали, сведя риск ее выхода из строя к минимуму, поскольку в этом случае полностью исключается ее нагрев и различные механические повреждения при монтаже/демонтаже.

Существуют некоторые методы маркировки радиодеталей, отличающиеся от стандартных (к примеру, в случае, когда их выпуск и сборка готовых изделий производится на одном и том же заводе; при этом часто используется сокращенная или цветовая маркировка). Не исключением являются и микросхемы, что сильно затрудняет определение их типа. Такая маркировка обусловлена упрощением (и, как следствие, удешевлением) технологического процесса производства радиодеталей. В этом случае определение возможно с помощью того же устройства, функции которого сведены к определению типа микросхемы методом сигнатурного анализа.

В настоящее время на заводах и предприятиях достаточно широкое распространение получили персональные IBM-совместимые компьютеры. Поскольку задача тестирования и определения типа методом сигнатурного анализа микросхем требует наличия интеллектуального устройства для выполнения алгоритма тестирования и базы данных, содержащей информацию по микросхемам, целесообразно проектировать именно приставку к компьютеру, подключаемую через внешний порт, а не отдельное самостоятельное устройство. Это обусловлено наличием в стандартном комплекте IBM-совместимого компьютера многих компонент, необходимых для решения данной задачи (микропроцессора, составляющего основу компьютера; жесткого диска, предназначенного для хранения информации; внешних портов ввода-вывода - последовательных COM1, COM2 и параллельного LPT; клавиатуры и дисплея - для ввода и вывода информации соответственно).




























2.   Постановка задачи.

2.1. Назначение системы.

Целью данной работы является разработка относительно недорогого устройства, подключаемого к IBM-совместимому компьютеру, предназначенного для тестирования и определения типа методом сигнатурного анализа микросхем ТТЛ (серии К155, К555, К531, К1531) и КМОП (серии К176, К561, К1561) логики, позволяющее производить проверку всех статических режимов работы этих ИМС.

Проверка производится следующим образом:

К порту принтера (LPT) компьютера посредством кабеля подключается устройство. В колодку, выведенную на его корпус, вставляется испытуемая микросхема. На компьютере запускается программа поддержки. Она управляет выдачей сигналов в порт, которые в свою очередь поступают на входы микросхемы. Далее программа считывает данные с выходов микросхемы, анализирует считанные данные, сверяя их с табличными, и выводит на дисплей результат тестирования. При определении типа ИМС производится перебор всех известных для тестирования комбинаций (выполняется сигнатурный анализ), после чего осуществляется анализ поступивших данных и вывод результатов на экран.





2.2. Анализ исходной проектной ситуации.

Зачастую проверка микросхем (например, в радиомастерских), в связи с отсутствием широкодоступных и недорогих устройств такого класса, осуществляется по работоспособности того или иного изделия путем пайки или вставления в панель ИМС на плату данного изделия. Этот процесс занимает достаточно длительное время и не всегда может служить показателем полной исправности микросхемы (к примеру, когда микросхема исправна лишь частично).

Как показал поиск в технической литературе, а также во всемирной компьютерной сети InterNet, в настоящее время в нашей стране существуют более дорогие серийные аналоги подобного устройства, позволяющего производить проверку статических режимов работы различных логических микросхем.

Так, например, несколько лет назад выпускался испытатель цифровых интегральных схем LEAPER-1, предназначенный для определения работоспособности логических интегральных схем с количеством выводов до 16 путем их проверки на выполнение логической функции. Для подключения испытуемых ИМС в разных корпусах к прибору служат адаптеры и 2 соединительных устройства, смена комбинации сигналов производится переключателями, расположенными на его лицевой панели, смена типов микросхем выполняется при помощи перемычек. Основные технические данные прибора LEAPER-1:

Максимальное количество выводов испытуемой микросхемы - 16

Регулируемое напряжение питания тестируемой микросхемы- 1...30в

Потребляемый микросхемой ток - 0...60мА

Продолжительность непрерывной работы в рабочих условиях- 8час.

Напряжение питания устройства - сеть ~220в, 50Гц

Потребляемая от сети мощность, не более - 20Вт

Как видно из описания и характеристик прибора, его функциональные возможности по проверке сильно ограничены выпускающимся ассортиментом микросхем 90-х годов. Длительный процесс смены типа микросхемы и выставляемые вручную комбинации сигналов делают это устройство ныне морально устаревшим.

Ассортимент выпускаемых на данный момент микросхем ТТЛ и КМОП логики настолько велик, что делать устройство для тестирования каждого элемента в отдельности нерентабельно. Потому целесообразно, создавая устройство, интегрировать в нем проверку большого множества элементов, чтобы сделать его как можно более универсальным.

Данное устройство может с успехом применяться для проверки комплектующих микросхем на заводах, производящих их выпуск и сборку готовых изделий; в организациях, производящих ремонт бытовой техники, применяющих эти микросхемы; в любительской радиоэлектронике.








2.3.Перечень основных функций, подлежащих реализации.

Проектируемое устройство должно выполнять 2 основные функции:

а) Тестирование микросхем.

Серия и тип испытуемой микросхемы известны. Микросхема считается исправной, если все ее контролируемые входные и выходные сигналы соответствуют имеющимся в базе данных (и соответствующим ТУ) для данного типа в течение некоторого промежутка времени, называемого временем тестирования.

б) Определение типа микросхем.

Тип испытуемой микросхемы заранее неизвестен, и целью анализа служит именно определение типа данной микросхемы. При этом  пользователь должен указать по меньшей мере напряжение питания данной микросхемы и выводы, на которые оно подается.

При проектировании необходимо учесть несколько ограничений, возникающих в процессе разработки:

1)  Различное номинальное напряжение питание микросхем (+5в ТТЛ и +9в КМОП);

2)  Разнообразное назначение выводов микросхемы (вход, выход, GND, +Uпит); не должно быть конфликтов в случае определения типа (при подаче потенциалов, предназначенных для входа микросхемы, на ее выход, когда тип микросхемы заранее неизвестен);

3)  Ограничение максимально потребляемого микросхемой тока (в случае проверки неисправной микросхемы);

4)  Преобразование ТТЛ-уровней LPT-порта в уровни, пригодные для тестирования микросхемы (min токи входов, max токи выходов и пр.);

5)  Недостаточная разрядность LPT-порта для тестирования отдельных микросхем логики;

6)  Возможность подачи +9в питания на микросхему с номинальным напряжением питания +5в при определении типа ИМС.

Необходимо учитывать возможность установки в панель для тестирования неисправной микросхемы, чтобы ни при каких условиях не допустить повреждения устройства, или тем более LPT-порта компьютера. Защиту можно организовать, вводя в блок питания аппаратное отключение напряжения питания, если ток потребления превысил максимально допустимые для ИМС параметры. Значение порога отключения желательно устанавливать программно. Также необходима гальваническая развязка вторичных цепей блока питания от сети переменного тока.

















2.4.Основные технические параметры.

Исходя из вышесказанного, сформулируем основные технические характеристики проектируемого устройства:

Максимальное количество выводов испытуемой микросхемы - 32

Логические уровни сигналов - КМОП, ТТЛ.

Номинальное напряжение питания микросхемы ТТЛ типа - +5в

Номинальное напряжение питания микросхемы КМОП типа - +9в

Регулируемое напряжение питания испытуемой микросхемы - +2...+9в

Шаг регулировки напряжения питания - не более 0.05в

Максимально допустимый потребляемый микросхемой ток - ~250мА

Разрядность ЦАП управления напряжением - 256

Разрядность ЦАП управления потребляемым током - 256

Точность измерения потребляемого микросхемой тока - ±1мА

Время 1-го шага тестирования - ~100мкс

Напряжение питания устройства - сеть ~220в, 50Гц

Максимально потребляемый от сети ток - 0.1А

2.5. Требования к персональному компьютеру и операционной   системе.

Для работы данного устройства необходим IBM-совместимый персональный компьютер на базе процессора Pentium3 или выше, имеющий в своем составе стандартный порт принтера (LPT). Для работы программы поддержки устройства необходима операционная система MS Windows версии не ниже 95.




























2.6. Требования к интерфейсу пользователя.

Пользовательский интерфейс - это общение между человеком и компьютером. На практическом уровне интерфейс - это набор приемов взаимодействия с компьютером. Пользователи выигрывают от того, что понадобится меньше времени, чтобы научиться использовать приложения, а потом - для выполнения работы. Грамотно построенный интерфейс сокращает число ошибок и способствует тому, что пользователь чувствует себя с системой комфортнее. От этого, в конечном итоге, зависит производительность работы.

Потому пользовательский интерфейс необходимо проектировать так, чтобы было обеспечено максимальное удобство пользователям в работе с данной программой. Т.е. в программе должны быть заложены:

· подсказки, позволяющие пользователю принять решение в создавшейся ситуации;

· интерактивная помощь (возможность ее вызова из любого места программы);

· очевидность меню (простая формулировка, иерархическая структура, логическое соответствие пунктов и подпунктов);

· возможность использования “горячих” клавиш;

· экстренный выход из программы.

Некоторые программисты склонны оставлять дизайн интерфейса пользователя на потом, считая, что реальное достоинство приложения - его программный код, который и требует большего внимания. Однако часто возникает недовольство пользователей из-за неудачно подобранных шрифтов, непонятного содержимого экрана и скорости его прорисовывания, поэтому работу над интерфейсом также нужно воспринимать серьезно.

Формы - это строительные блоки интерфейса пользователя. Хороший дизайн форм включает нечто большее, чем просто добавление элементов управления и программирование процедур обработки событий. Чтобы создать хорошо спроектированную форму, вы должны уяснить ее назначение, способ и время использования, а также ее связи с другими элементами программы. Кроме того в приложении может находиться несколько форм, каждая из которых будет отображаться по мере необходимости. Одни пользователи широко используют многозадачность Windows, другие предпочитают работать только с одним приложением. Необходимо помнить об этом во время разработки интерфейса пользователя (UI) Вы должны максимально реализовать все возможности Windows, чтобы пользователи с любыми навыками работы могли эффективно применять созданное вами приложение.

Если интерфейс пользователя должен содержать несколько форм,  предстоит принять важное решение: какой использовать вид интерфейса - однодокументный (SDI) или многодокументный (MDI).
В SDI-приложениях окна форм появляются совершенно независимо друг от друга. Однако, не имеет значения какой тип интерфейса SDI или MDI выбран; взаимодействие пользователя с формами происходит одинаково - посредством обработки событий, поступающих от элементов управления формы. Поэтому, если в вашем приложении предусмотрено несколько форм, программу необходимо написать так, чтобы у пользователей не было возможности нарушить  ход ее выполнения (например, у пользователя не должно быть средств вывести форму, для которой еще не готова информация).

Когда есть видимость работы приложения, пользователи более легко переносят длительное ожидание в работе программы. Один из способов информирования пользователя о ходе выполнения работы - использовать в форме индикатор процесса. Если обновлять записи базы данных, можно использовать такой индикатор для отображения числа записей, над которыми операция уже произведена. Для этого необходимо добавление пары строк кода обновляющих показания индикатора по мере перехода к следующим записям.

3.    Проектирование структуры системы.

Исходя из поставленных технических условий, разработаем структурную схему устройства, на основании которой можно будет вести дальнейшее проектирование системы.

Общая структурная схема приведена на рис.1.

Рис.1. Общая структурная схема.

 

Питание устройства осуществляется от сети переменного тока ~220в, обмен данными между устройством и компьютером осуществляется посредством порта принтера LPT. Микросхема вставляется в колодку, расположенную на корпусе проектируемого устройства.

LPT-порт компьютера в нормальном режиме представляет собой параллельный регистр, который имеет 12 линий на вывод и 5 линий на ввод. Поскольку микросхемы имеют самую разнообразную структуру, то этого явно недостаточно для тестирования микросхем, имеющих, к примеру, 6 входов и 16 выходов (К155ИД3), или 21 вход и 1 выход (К155КП1).

Поэтому необходимо наращивание разрядности LPT-порта путем введения входных запоминающих регистров, выходных мультиплексоров и дешифратора, управляющего записью в регистры и чтением данных при помощи мультиплексоров соответственно. Применение в данном случае выходных мультиплексоров, а не регистров, обусловлено упрощением схемы, и возможно благодаря статическому характеру сигналов на выводах испытуемой микросхемы. Так как стандартный LPT-порт компьютера имеет на выходе ТТЛ-уровни, то целесообразно выбрать в качестве регистров и мультиплексоров именно ТТЛ-микросхемы.

Структурная схема устройства представлена на плакате 210303-09-13-01 л.1.

Входные регистры необходимы для запоминания выставленных значений, предназначенных для подачи на вход микросхемы. Выходные мультиплексоры предназначены для чтения сигналов с выходов микросхемы. При проектировании необходимо ориентироваться на 32 разряда (поскольку максимальное число выводов микросхем ТТЛ- и КМОП-логики не превышает 32). Так как число входных и выходных линий LPT-порта ограничено, то наиболее эффективным и удобным для программирования в этом случае будет использование 8-ми выходных линий LPT-порта для записи данных в регистры и 4-х входных линий LPT-порта для чтения данных из мультиплексоров. Для записи данных понадобятся четыре 8-разрядных регистра, для чтения данных - четыре двухвходовых 4-разрядных мультиплексора.

Поскольку входные и выходные линии разделены (для ввода и вывода данных будут использоваться различные физические линии LPT-порта), то мультиплексоры можно адресовать параллельно регистрам (для адресации понадобится 4-е линии вместо 8-ми). При этом для управления выборкой входов мультиплексоров будет использоваться один бит LPT-порта на вывод (0-й бит порта 378H).

В блоке питания аналогично входным будут использованы еще три 8-разрядных регистра (2 на управление и 1 на коммутацию, речь о них пойдет ниже), которые потребуют еще 3 адресные линии.

Таким образом, для адресации 7-ми регистров понадобятся 3 дополнительные линии LPT-порта (37AH) на вывод (адресуемые при помощи дешифратора 3x8). И еще одна линия порта 37AH на вывод будет нужна для управления записью в регистры.

Так как проектируемое устройство предназначено как для тестирования микросхем ТТЛ, так и для тестирования микросхем КМОП, то после входных запоминающих регистров необходимо ввести устройство согласования по входу (для преобразования выходных ТТЛ-уровней регистров в уровни испытуемой микросхемы (КМОП или ТТЛ, в зависимости от серии). Для чтения данных с выходов испытуемой микросхемы, перед входами мультиплексоров необходимо поставить аналогичное устройство согласования по выходу (преобразование выходных КМОП или ТТЛ сигналов в ТТЛ-уровни).

При определении типа микросхемы для каждого разряда заранее неизвестно, является ли подключенный к нему вывод микросхемы входом или выходом. Потому ток, протекающий через ее вывод, должен быть выбран таким, чтобы обеспечивать максимально возможный входной ток для проверяемой серии. Нужно учесть тот факт, что ток выхода некоторых микросхем меньше этого входного тока, потому при попытке определения их типа, результаты могут быть искажены; т.к. таких микросхем очень мало, они могут быть исключены из списка определяемых. Также необходимо учитывать различие входных/выходных токов для микросхем КМОП и ТТЛ серий.
































4.Выбор технических и программных средств реализации.

Выбор технических средств

Для запоминания выставленных значений в качестве  входных регистров необходимы 8-битные параллельные регистры-защелки с суммарным числом запоминаемых битов - 32. Эти регистры должны иметь тактируемый вход записи, вход разрешения параллельной загрузки, быстродействие <100мкс, не должны иметь Z-состояния (чтобы не было неопределенных уровней сигналов). Этим требованиям соответствуют 4 регистра К555ИР27.

В качестве регистров коммутации, управления напряжением и током можно выбрать К555ИР27, поскольку они обеспечивают необходимую разрядность (8бит), управление (запись/запоминание/хранение) и быстродействие.

Микросхема выполнена в пластмассовом корпусе 1400.20-2 с двухрядным вертикальным расположением выводов.

Электрические параметры микросхемы К555ИР27 приведены в табл.1, условное графическое обозначение на рис.2, назначение выводов - в табл.2, состояния регистра ИР27 - в табл.3.

Таблица 1

Uпит., ном., В

5

U0вых., не более, В

0.5

U1вых., не менее, В

2.7

I0вх., не более, мА

-0.4

I1вх., не более, мА

0.02

Iпот., не более, мА

28

t1.0зд.р., не более, нс

30

t0.1зд.р., не более, нс

30

К555ИР27

Рис.2. Регистр К555ИР27 (обозначение).

     

Таблица 2

1

Вход разрешения параллельной загрузки /PE

2

Выход данных Q0

3

Вход данных Q0

4

Вход данных Q1

5

Выход данных Q1

6

Выход данных Q2

7

Вход данных Q2

8

Вход данных Q3

9

Выход данных Q3

10

GND

11

Синхронный тактовый вход C

12

Выход данных Q4

13

Вход данных Q4

14

Вход данных Q5

15

Выход данных Q5

16

Выход данных Q6

17

Вход данных Q6

18

Вход данных Q7

19

Выход данных Q7

20

“+” питания







Таблица 3

Режим работы

Вход C

Вход /PE

Вход Dn

Выход Qn

Загрузка “1”

­

0

1

1

Загрузка “0”

­

0

0

0

Хранение

­

1

X

Qn’


X

1

X

Qn’



Выбор программных средств.

В настоящее время существует большой выбор различных программных средств. При этом каждое из них имеет свою область применения. Например, для написания системных драйверов используются языки программирования низкого уровня (Assembler, Forth), так как работа таких программ идет в реальном времени, и для своевременной обработки данных требуется большое быстродействие драйвера-программы. Для написания удобных интерфейсов программ, а также программ, связанных с ведением баз данных, где не требуется столь высокого быстродействия, используются языки программирования высокого уровня (Clipper, Pascal, FoxPro, C++, Visual Basic). Т.е. выбор тех или иных программных средств реализации зависит конкретно от поставленной задачи и возлагаются на программиста, решающего эту задачу.

При проектировании данного устройства, для написания подпрограмм обмена информацией между проектируемым устройством и компьютером было решено использовать Assembler, поскольку он обеспечивает максимальное быстродействие, минимальный объем, а также простоту и удобство в написании подпрограмм подобного класса.

При этом сохраняется возможность использования для этой цели морально устаревших в настоящее время компьютеров, имеющихся в достаточном количестве на предприятиях, работающих в операционной системе MS Windows. Также (при использовании соответствующих микропроцессоров и программных средств) этим обеспечивается максимальная транспортабельность программы при создании интерфейсов под другие операционные системы (Windows NT, Unix, Linux, Mac OS и пр.).

Ассемблер представляет собой язык программирования низкого уровня, в котором программист пишет инструкции, управляющие работой процессора. При помощи программы-компилятора эти инструкции переводятся в машинный код, исполняемый непосредственно процессором. В число команд Ассемблера входит самый минимальный набор (команды перехода, вызова подпрограмм и возврата из них, работы с регистрами, памятью, арифметические операции, логические операции, операции сдвига, сравнения, работы с портами ввода/вывода).

Для написания интерфейса программы поддержки данного устройства возможно использование любого из языков программирования высокого уровня, позволяющего вставлять  подпрограммы на Ассемблере в текст программы. Поскольку данный вопрос выходит за рамки настоящей работы, подробно он рассматриваться не будет.

5. Описание принципиальных схем.

5.1. Описание состава принципиальных схем в сопоставлении с соответствующими структурными схемами узлов.

Устройство согласования по входу.

(см. плакат 210303-09-13-03 л.1)

Данный узел обеспечивает согласование между ТТЛ-выходами регистров и входами испытуемой микросхемы  как по напряжению (приведение уровней ТТЛ Þ КМОП или ТТЛ), так и по току. Принципиальная схема узла изображена на рис.10.

 Исходя из приложения [3] максимальные входные токи для микросхем ТТЛ логики равны: ток “0” - 2мА, ток “1” - 0.1 мА.

Напряжение +Umc - напряжение питания испытуемой микросхемы. Для ТТЛ микросхем оно равно +5в. Для КМОП микросхем - +9в. При помощи него формируется входной ток “1”.

Напряжение -Umc зависит от выбранного типа микросхемы (задается пользователем, выставляется при помощи одного бита управляющего регистра). Для ТТЛ микросхем оно равно -9.3в. Для КМОП микросхем - -1в.

Расчет резисторов R33 и R65 в цепях стоков транзисторов VT1 и VT33 соответственно:

а) Для ТТЛ типа (считаем падение напряжения на открытых полевых транзисторах близким к 0):

R33 = (|+Umc|-2.4в)/I1вх.макс.ТТЛ = 2.6в/0.1мА = 26К » 27К

R65 = (|-Umc|-Uпад.VD2)/I0вх.макс.ТТЛ = 9.3в-1.1в/2мА » 4.3К

б) Для КМОП логики соответственно:

I1вх.макс.КМОП = (|+Umc|-7в)/R33 = 9в-7в/27К » 0.07 мА

I0вх.макс.КМОП = (|-Umc|-Uпад.VD2)/R65 = 0.3в/4.3К » 0.07 мА

Диоды VD1 и VD2 нужны для ограничения потенциала U0вх. (т.е. этот потенциал не должен быть ниже потенциала общего провода, поскольку при этом возможен выход из строя микросхем КМОП логики). Значения 2.4в и 7в в формулах - это минимальные уровни логической “1” для ТТЛ и КМОП микросхем соответственно [3].

Данный узел инвертирует значение входного сигнала. Разъем X3 является панелью для вставки испытуемой микросхемы.

Узел работает следующим образом:

При подаче на вход логической “1”, напряжение на затворе транзистора VT1 будет близко к +5в, вследствие чего он находится в закрытом состоянии. Канал исток-сток этого транзистора имеет большое сопротивление, и ток через него не течет (не учитывая очень малые токи утечки). В то же время транзистор VT33 открыт, т.к. напряжение между подложкой и затвором равно около +5в. Через него, резистор R65 и диод VD2 течет ток, равный » 2мА. При подключении входа испытуемой микросхемы к аноду диода VD1, часть этого тока пойдет через него, обеспечивая уровень логического “0” на входе микросхемы. Диод VD1 нужен для компенсации падения напряжения на VD2, чтобы не допустить на входе микросхемы уровня напряжения ниже уровня общего провода (что является причиной выхода из строя КМОП микросхем).

При подаче на вход уровня логического “0” наоборот, транзистор VT33 будет закрыт, транзистор VT1 - открыт. Ток потечет через переход исток-сток транзистора VT1, резистор R33 и вход испытуемой микросхемы. Максимальный входной ток для ТТЛ микросхем будет  примерно U1вх;макс.=(|+Uмс| - 2.4в)/R33 » 0.1мА.

Питание узла: +Uмс, -Uмс, +5в.

Максимально потребляемые токи (+Uмс.макс.=+9в, -Uмс.макс.=-10в):

I2+Uмспотр.= (+Uмс.макс./R33)*32 = (9в/27000)*32 » 11мА

I2-Uмспотр.= (|-Uмс.макс.+UVD2|/R65)*32 = (9.3в/4300)*32 » 69мА

I2+5впотр.= Iзатв.VT1*32 » 0мА (Iзатв.VT1 имеет малую величину, порядка нескольких микроампер, поэтому в расчет не принимается).





















Входные регистры.

(см. плакат 210303-09-13-03 л.2)

Данный узел представляет собой 32-разрядный запоминающий регистр с ТТЛ-уровнями, без Z-состояния, использующий 8 входных линий данных и несколько линий управления на 32 выходных линии. Принципиальная схема узла изображена на рис.9.

Работает следующим образом: на контакты 2-9 разъема LPT-порт подаются 8 бит данных. На один из контактов 1-4 разъема X1 (с дешифратора) подается логический “0” - выбор регистра, в который должна быть произведена запись. Перепадом логических уровней “0”Þ”1” на контакте 16 разъема LPT-порт происходит защелкивание данных в выбранном регистре. Запись данных в остальные регистры производится аналогичным образом.

Питание узла: микросхемы DD2-DD5: 10 вывод - GND, 20 вывод - +5в.

Максимально потребляемый ток I1+5в потр.= 28мА*4 = 112мА

6. Проектирование алгоритма функционирования системы.

                 Описание алгоритма функционирования системы.

Общая структурная схема работы системы в целом представлена на плакате 210303-09013-03 л.4.

Комментарии к плакату 210303-09013-03 л.4 изложены в табл.4

Таблица 4

Блок

Наименование

Комментарии

2

Инициализация устройства

Установка начальных значений регистров, снятие напряжения питания с панели для ИМС.

3

Ввод режима работы устройства

Выбор меню “Тестирование” или “Определение типа”.

4

Режим работы

В зависимости от п.3 переход на исполнение выбранной части программы.

5

Ввод напряжения питания ИМС и выбор выводов для его подачи

Ввод с клавиатуры напряжения питания ИМС и выбор выводов для его подачи из числа доступных в меню

6

Вызов подпрограммы определения типа микросхемы

Определение типа ИМС, возврат из п/п результата определения типа

7

Ввод типа микросхемы

Выбор в меню типа микросхемы

8

Вызов подпрограммы тестирования микросхемы

Тестирование микросхемы, возврат из п/п результата тестирования

9

Вывод результатов на экран

Вывод на экран результатов тестирования или определения типа

10

Инициализация устройства

См. п.2.

11

Завершить работу с устройством ?

В зависимости от выбора продолжить работу программы с п.3, либо завершить выполнение программы

Распределение адресного пространства LPT-порта.

Весь обмен данными осуществляется через стандартные адреса LPT-порта (см. табл. 5). За базовый принят стандартный адрес порта LPT1 - 378H. Рассмотрим назначение портов применительно к разрабатываемому устройству:

Порт 378H. Через него осуществляется запись информации во входные регистры, регистры управления напряжением и током (биты 0-7), а также для управления считыванием данных из “половинок” выходных мультиплексоров (бит 0).

Порт 379H. Используется для чтения данных из выходных мультиплексоров (биты 4-7), а также для контроля наличия напряжения питания на испытуемой микросхеме (бит 3).

Порт 37AH. Используется для дополнительной дешифрации регистров и мультиплексоров (биты 0-2), а также для стробирования записи во входные регистры (бит 3). Дополнительная дешифрация осуществляется следующим образом (табл.6):









Таблица 5

Порт

Бит

Контакт разъема

Описание

378H

0

1

2

3

4

5

6

7

2

3

4

5

6

7

8

9

используется для записи

-“”-

-“”-

-“”-

-“”-

-“”-

-“”-

-“”-

379H

0-2

3

4

5

6

7

-

15

13

12

10

11

не используются

используется для чтения

-“”-

-“”-

-“”-

-“”-

37AH

0

1

2

3

4-7

1

14

16

17

-

используется для записи

-“”-

-“”-

-“”-

не используются


Таблица 6

2 бит

1 бит

0 бит

Назначение

0

0

Запись во входной регистр DD2, чтение из выходного мультиплексора DD13

0

0

1

Запись во входной регистр DD3, чтение из выходного мультиплексора DD14

0

1

0

Запись во входной регистр DD4, чтение из выходного мультиплексора DD15

0

1

1

Запись во входной регистр DD5, чтение из выходного мультиплексора DD16

1

0

0

Не используется

1

0

1

Запись в регистр регулировки напряжения питания DD7

1

1

0

Запись в регистр регулировки макс. потр. тока DD8

1

1

1

Запись в регистр управления коммутацией питания, выбора типа МС и включения напряжения питания DD6


Запись 8-ми бит данных в соответствующий регистр производится из порта 378H (биты 0-7) при перепаде стробирующего сигнала 0Þ1 (бит 3, порт 37AH). Чтение 4-х бит данных из соответствующего мультиплексора производится из порта 379H (биты 0-3). Входы данного мультиплексора переключаются при помощи бита 0 порта 378H. Далее два считанных ниббла (по 4 бита) программно собираются в 1 байт (8 бит).

Значение, записываемое в регистр коммутации питания, зависит от типа микросхемы. Биты 0-2 регистра отвечают за коммутацию “+” питания микросхемы (табл.7), биты 3-5 - за коммутацию GND (табл.8), бит 6 отвечает за тип микросхемы (табл.9), бит 7 - за включение напряжения питания (табл.10):

Таблица 7

0 бит

1 бит

2 бит

Коммутируемый вывод разъема X3

0

0

0

13

0

0

1

14

0

1

0

23

0

1

1

24

1

0

0

26

1

0

1

28

Таблица 8

3 бит

4 бит

5 бит

Коммутируемый вывод разъема X3

0

0

0

16

0

0

1

19

0

1

0

20

Таблица 9

6 бит

Тип микросхемы

0

ТТЛ

1

КМОП


Таблица 10

7 бит

Напряжение питания испытуемой микросхемы

0

Неизменно

1

Включено



                                Описание подпрограмм.

1)  Подпрограмма инициализации устройства.

После включения питания устройства в регистрах находится случайная информация. Для того, чтобы привести его в исходное состояние, необходимо записать начальные значения в управляющие регистры. Для этого нужно записать в порты следующие значения (значениеÞпорт): 7FHÞ378H, 07HÞ37AH, 0FHÞ37AH (запись 7FH в регистр управления коммутацией питания - отключение напряжения питания и коммутаторов), затем 0Þ378H, 05HÞ37AH, 0DHÞ37AH (запись 0 в регистр регулировки напряжения), и затем  06HÞ37AH, 0EÞ37AH (запись 0 в регистр регулировки тока). Эти же действия необходимо будет выполнять после каждого цикла тестирования, чтобы избежать выхода из строя испытуемой микросхемы при ее смене. Блок-схема подпрограммы инициализации приведена на плакате 210303-09-13 л.4. Подпрограмма инициализации, написанная на языке Ассемблер, приведена на плакате 210303-09-13 л.6.

2)  Подпрограмма тестирования микросхем.

Блок-схема подпрограммы тестирования представлена на плакате 210303-09-13 л.5.

При помощи интерфейса пользователь выбирает тип микросхемы. Программа находит в базе данных значения, соответствующие выбранной микросхеме и считывает их. Данные для каждой микросхемы представляют собой следующую структуру:

1 байт - данные по коммутации напряжения питания (значение записывается в регистр DD6, 7-й бит не используется).

1 байт - данные   по  максимально  потребляемому микросхемой току (в мА), значение записывается в регистр DD8.

2 байта - количество 8-байтовых данных в повторяющейся последовательности записи-сверки (см. ниже). Фактически представляет собой количество циклов записи-сверки.

Далее идет периодически повторяющаяся последовательность, длина которой зависит от конкретной микросхемы:

4 байта - данные, записываемые во входные регистры (входная последовательность).

4 байта - данные,  сверяемые  со  считанными  с  выходов испытуемой микросхемы (контрольная последовательность).

При программировании учтены следующие особенности:

· инверсия данных, записываемых во входные регистры, в устройстве согласования по входу;

· инверсия данных, считываемых из выходных мультиплексоров, в устройстве согласования по выходу;

· дополнительное потребление по току в устройстве коммутации, равное 7мА.

Рассмотрим процесс тестирования на примере микросхемы К555ЛА3:

1) Значение, записываемое в регистр DD6. Для подачи питания на данную микросхему используются выводы 7 (GND) и 14 (+5в). Им соответствуют контакты 16 и 23 разъема X3. Для коммутации +Uпит.мс. необходимо в разряды 0-2 регистра DD6 записать значение 010B. Для коммутации GND необходимо в разряды 3-5 регистра DD6 записать значение 000B. Поскольку тип микросхемы ТТЛ, необходимо в разряд 6 регистра DD6 записать значение 0B. Просуммировав, получим значение, которое необходимо записать в регистр DD6: 0000010B=2H.

2) Значение потребляемого микросхемой тока - 4.4мА. Округляем до целого в большую сторону - 5H.

3) Число циклов тестирования. Зависит от микросхемы. Для тестирования микросхемы К555ЛА3 (с 2-мя входами у каждого элемента) достаточно 22=4 цикла тестирования.

4) Периодически повторяющаяся последовательность. Представляет собой 4 записываемых байта и 4 байта, с которыми производится сверка считанных значений. Для неиспользуемых разрядов записываемое и считываемое значения должны соответствовать друг другу, для выводов “+” питания микросхемы будет считываться логическая “1”, для GND - логический “0”. Для данной выбранной микросхемы тестирование будет заключаться в переборе комбинаций по 2-м ее входам (т.е. 00, 01, 10 и 11) и сравнении выходных сигналов с заведомо верными.

Разработанная подпрограмма тестирования на языке Ассемблер для микросхемы К555ЛА3 приведена в приложении 5 (подробности работы данной подпрограммы изложены в комментариях).

3)  Подпрограмма определения типа микросхем.

Задача определения типа микросхемы представляет собой перебор всех известных для тестирования комбинаций при заданном значении напряжения питания микросхемы. Блок схема алгоритма определения типа приведена на плакате 210303-09-13 л.5.

Нужно учесть, что в приведенной на плакате 210303-09-13 л.5 блок-схеме, внутри подпрограммы тестирования программно выставляемое напряжение питания испытуемой микросхемы меняться не должно, т.е. это необходимо учитывать при использовании алгоритма тестирования микросхемы.

7.   Описание конструкции системы.

              Устройство согласования по входу.

 

Устройство собрано на плате из двустороннего фольгированного стеклотекстолита размерами 112,5x100 (см. плакат 210303-09-13-03 л.3).  Форма платы прямоугольная.

 

 

 

8.   Инструкция по эксплуатации.

Порядок работы с устройством:

1) Подсоединяем устройство к LPT-порту компьютеру при помощи интерфейсного кабеля (устройство и компьютер при этом должны быть выключены).

2)  Включаем компьютер при помощи переключателя, расположенного на панели компьютера (обычно лицевой).

3)  Включаем устройство при помощи переключателя SA1, расположенного на правой боковой панели устройства.

4)  Запускаем на компьютере программу поддержки (testing.exe).

5)  Вставляем микросхему в колодку, расположенную на верхней панели устройства.

6)  Выбираем в меню режим работы устройства (“Тестирование”/”Определение типа”).

7)  Если выбран режим “Тестирование”, далее выбираем тип микросхемы из списка предлагаемых к тестированию (например, К555ЛА3). Если выбран режим “Определение типа”, то выбираем напряжение питания микросхемы (+2...+9В) и выводы для подачи  питания в меню из числа доступных.

8)  В зависимости от выбранного режима визуально наблюдаем на дисплее результат тестирования (“Исправна”/“Неисправна”) или определения типа (<тип микросхемы>, либо “Микросхема не опознана или неисправна”).

9)  Вынимаем микросхемы из колодки устройства.

10) При необходимости повторного анализа (например, для другой микросхемы) повторяем пп.5-9.

11) По окончании работы выключаем устройство переключателем SA1.

12) Выключаем компьютер.

13) Отсоединяем от компьютера интерфейсный кабель.

9.   Экономическая часть.

Маркетинговый анализ.


Целью маркетингового анализа является предварительная ориентировочная оценка рынка: потенциальных потребителей разрабатываемой продукции, их требований к ней, емкости рынка, характера спроса на продукцию и других рыночных факторов. Результатом этого анализа должны быть сведения о возможном объеме производства и продаж товара, действующем на рынке уровне цен на аналогичную продукцию, характеристика конкурирующей продукции.

Важной частью маркетингового анализа является исследование конкурентоспособности разрабатываемого продукта, его позиционирование на рынке, выводы об уровне качества товара, предложения по его совершенствованию.

Одной из решающих предпосылок эффективной рыночной деятельности является создание и производство конкурентоспособных товаров. Обеспечение конкурентоспособности товара на требуемом уровне предполагает её количественную оценку, которая позволит определить положение данного товара в ряду аналогичных.

В данном случае в качестве товара выступает устройство для тестирования микросхем. Оно служит для проверки микросхем, выпускаемых на предприятии, а также для диагностики в ремонтных мастерских. Существует несколько аналогов подобных устройств.

Выполним анализ конкурентоспособности на основе разработки таблиц 7 и 8.

Значения параметров (характеристик) конкурирующих товаров.

Таблица 7

Наименование конкурирующего товара

Масса, г

Продолжительность непрерывной работы, час

Число тестируемых наименований ИМС

Цена, руб.

GUT-6000A

340

7

800

46485

GUT-6600

440

5

300

16652

LEAPER-2

500

4

500

23326

РУДТМ

400

8

600

13770


Рейтинг конкурентоспособности.

Таблица 8

Наименование конкурирующего товара

Масса, г

Продолжительность непрерывной работы, час

Число тестируемых наименований    ИМС

Цена, руб.

2

1

3

4

GUT-6000A

10

5,6

10

3

GUT-6600

7,7

4

2,4

8,2

LEAPER-2

6,8

3,2

4

6

РУДТМ

8,5

10

4,8

10


Рассчитаем интегральный показатель конкурентоспособности Р для каждого конкурента:

P = Σ Аi • Вi,

где Аi - балльная оценка i-ой характеристики; Вi - значимость i-ой характеристики;

Р(GUT-6000A) = 67,6

P(GUT-6600) = 59,4

Р(LEAPER-2) = 52,8

P(РУДТМ) = 81,4

Из приведенных значений интегрального показателя конкурентоспособности видно, что разработанный РУДТМ имеет максимальный показатель. Оценим количество изделий, которое можно продать за год. Покупателями являются ремонтные мастерские и заводы-изготовители устройств с использованием микросхем. Приблизительно в каждом городе на европейской территории России за год создается 3 мастерские по ремонту электронной техники. Число городов в которые будут продаваться устройства примем 150. Если учесть, что каждый третий купленный РУДТМ будет нашего производства, то число продаж за год 150. Длительность продажи с учетом морального старения товара и возможного появления более совершенного устройства у конкурентов примем 2 года.




















Расчет затрат на создание продукта.

Расчет стоимости материалов сведен в таблицу 9.

Таблица 9

Материалы

Кол-во

Единица измерения

Цена за единицу

(руб./ед.)

Сумма

( руб.)

Провод МГТФ

9

м

5,20

22,5

Припой ПОС-61

0,15

кг

280,00

42,00

Канифоль

0,015

кг

320,00

4,80

Спирт технический

0,03

л

50,00

1,5

Итого:




       70,8

 






Расчет затрат на покупные изделия и полуфабрикаты блока питания сведен в таблицу 10.

Таблица 10

Наименование

Цена/шт.

( руб.)

Кол-во

(шт.)

Сумма

( руб.)

Микросхемы:




 К555ИД7

43,75

2

87,5

 К555ИР27

43,75

3

131,25

 К155ЛП4

27,50

1

27,50

 К561ТМ2

35,00

1

35,00

 К142ЕН5А

500,00

1

500,00

 К554СА3А

62,50

1

62,50

 К140УД6

26,25

1

26,25

 К572ПА1А

277,50

2

555,00

Диоды и стабилитроны:



 

 КТ814Б

18,75

7

131,25

 КТ815Б

18,75

4

75,00

 КТ316Б

1,25

5

6,25

 КТ315Б

 КС818Г

 Д814Г

 КС147А

 КС168А

 КД202В

1,25

18,75

18,75

18,75

18,75

18,75

2

2

1

1

1

8

2,50

37,50

18,75

18,75

18,75

150,00

Резисторы:




МЛТ-0.125  430 ОМ

МЛТ-0.125  10 КОМ

МЛТ-0.125  100 КОМ

МЛТ-0.125  910 ОМ

МЛТ-0.125  1 КОМ

МЛТ-0.125  4,7 КОМ

МЛТ-0.125  390 ОМ

1,25

1,25

2,00

2,00

2,00

2,00

2,00

9

14

1

1

1

1

1

11,25

17,5

2,00

2,00

2,00

2,00

Конденсаторы:








2000мк x 16в

5,00

2

10,00

100мк x 16в

3,75

2

7,50





Печатная плата

10,00

1

10,00

Оптоэлектронный прибор

0,05

1

1,25

Итого:



1941,25


Расчет затрат на покупные изделия и полуфабрикаты управляющего устройства и устройства согласования по выходу сведен в таблицу 11.

Таблица 11

Наименование

Цена/шт.

( руб.)

Кол-во

(шт.)

Сумма

( руб.)

Микросхемы:




 К555ИД7

43,75

1

43,75

 К555КП11

20,00

4

80,00

Транзисторы:




КТ315Б

1,25

32

40,00

Резисторы:




МЛТ-0.125 100 КОМ

МЛТ-0.125  10 КОМ

1,25

1,25

32

32

40,00

40,00

Шейкеры входов и выходов:




SLC 32 Pin

10,00

3

30,00

LPT Port DB25-M pin25

9,25

2

18,50

Печатная плата

10,00

1

10,00

Вставка плавкая 0.5А, с держателем

Корпус металлический 

2,50

500,00

1

1

2,50

500,00

Итого:



813,50


Расчет затрат на покупные изделия и полуфабрикаты устройства согласования по входу сведен в таблицу 12.

Таблица 12

Наименование

Цена/шт.

( руб.)

Кол-во

(шт.)

Сумма

( руб.)

Микросхемы:








 К555ИР27

43,75

4

175,00

Транзисторы:




КП303

5,00

32

160,00

КП301

33,00

32

1056,00

Диоды и стабилитроны:



 

КД522А

8,75

64

560,00

Резисторы:




МЛТ-0.125 100 КОМ

1,25

32

40,00

МЛТ-0.125  27 КОМ

1,25

32

40,00

МЛТ-0.125  4,3 КОМ

1,25

32

40,00

Штекеры входов и выходов




 LPT-DB 25PIN

9,25

1

9,25

 SLC-32 PIN

10,00

3

30,00

Печатная плата

10,00

1

10,00

Итого:



2120,25

      Сумма, затраченная на комплектующие и полуфабрикаты, равна 4945руб.

     Расчет основной зарплаты производственных рабочих сведен в таблицу 13.

Таблица 13

Наименование видов работ и операций

Разряд

Часовая тарифная ставка, руб./час

Трудоемкость

работ,

нормо-часы

Основная

зарплата,

руб.

Заготовительная

3

35

0,5

17,5

Фрезерная

4

31

0,5

16,5

Сверлильная

3

30

1

30

Сборочная

5

45

4

180

Монтажная

5

35

7

145

Регулировочная

6

40

2

80

Контроль

6

50

0,5

25

Основная заработная плата равна:

Сосн.зп.= 489 руб./шт.

Затраты на изготовление продукции могут быть определены методом сложения затрат по отдельным статьям расходов (таблица 14).

Калькуляция себестоимости изделия.

Таблица 14

№ п/п

Наименование статей калькуляции

Затраты

Примечание

руб.

%

1

Покупные изделия и полуфабрикаты

4945

58,1


2

Транспортно-заготовительные расходы

247,25

3

5 % от п. 1

Итого основных материалов и покупных изделий

5192,25

61,1

п.1 + п.2

3

Тарифная зарплата производственных рабочих

489

5,7


4

Премия производственных рабочих

97,8

1,1

20 % от п.5

Итого основная зарплата производственных рабочих

586,8

6,8

п.3 + п.4

5

Дополнительная зарплата производственных рабочих

58,7

0,7

 10 % от п.3 и п.4

6

Отчисления в социальные фонды

168

2

26 % от п.3,4,5

7

Цеховые расходы

737

8,7

150 к п.3,4

8

Общезаводские расходы

737

8,7

150 к п.3,4

9

Прочие заводские расходы

225

2,6

3% к сумме п.1-8

Итого производственная себестоимость

7705

90,6

Сумма п. 1 – 9

10

Внепроизводственные расходы

770,5

9

10 % к производственной себестоимости

Итого полная себестоимость

8475,5

100

Сумма

 п.1 – 10



Определение стоимости устройства.

Предполагаемая рыночная цена Ц может быть представлена следующим образом:

Ц = Зразр./Nпред. + s + П + НДС,

где Зразр. - затраты на разработку нового продукта; Nпред. - предполагаемый объем выпуска (тиражирования) продукта:

Зразр. = 375481 руб.

Nпред. = Nгод. • tвып.,

где Nгод. - годовой объем выпуска продукта, определённый на базе анализа рыночного спроса.

Nгод. = 150шт.

tвып. - количество лет, в течение которых будет осуществляться выпуск (тиражирование) данного продукта. Показатель tвып. определяется исходя из анализа морального старения продукта и его жизненного цикла.

tвып. = 2 года

S - себестоимость единицы продукта.

S = 8475,50 руб.

П - прибыль на единицу продукции;

НДС - налог на добавленную стоимость.

НДС определяется в соответствии с действующим на данный момент порядком расчета этого налога и размером ставки (н) НДС:

н = 18%

НДС = н • (Зразр./Nпред. + s + П)

Прибыль от продукции П определятся исходя из рентабельности (р) продукта в процентах:

П = р • (Зразр./Nпред. + s)/100

                                                                                                      

Рентабельность р примем равной 20%.

Nпред. = 150 • 2 = 300 шт.

П = 20 • (375481/300 + 8475,5)/100 = 1945 руб./шт.

НДС = 0,18 • (375481/300 + 8475,5 + 1945) = 2100 руб.

Ц = 375481/300 + 8475,5 + 1945 + 2100 = 13770 руб.

Затраты на разработку нового продукта.

Затраты на разработку нового продукта (Зразр.) связаны с выполнением научных исследований, с составлением технического и рабочего проектов, разрабатываемой технологии, а также с изготовлением и испытанием опытных образцов продукции.

Затраты на разработку складываются из расходов по зарплате исполнителей; затрат на материалы; арендной платы за помещения; расходов на отопление, освещение; оплату машинного времени; амортизации основных фондов и т.д.

Сведем в таблицу 15 этапы разработки устройства. 

Таблица 15

Вид работы

Число

работников

Часовая

зарплата, руб.

Затраты

времени,

ч.

1

Изучение существующих устройств-аналогов

1

50

150

2

Разработка электрической схемы

1

50

150

3

Подбор электронных

 компонентов

1

50

40

4

Корректировка схемы

1

50

16

5

Написание программы  для управляющего устройства

1

50

150

6

Разработка монтажной платы основной части

1

50

80

7

Разработка монтажной платы управления

1

50

40

8

Разработка дизайна

1

50

80

9

Компоновка устройства

1

50

80

10

Оформление внешнего вида

1

50

56

11

Закупка электронных компонентов для пробной партии из 3-х шт.

1

50

120

Монтаж компонентов на плату

2

40

16

13

Загрузка программы для управляющего устройства

1

50

8

14

Сборка устройств

2

40

16

15

Тестирование устройств

1

40

24

16

Создание чертежа корпуса

1

50

16

17

Создание чертежа компоновки

1

50

16

18

Оформление конструкторской документации

1

50

24


Определим расходы по зарплате исполнителей:

 

Зз/п = Зосн. • (1 + Кдоп.) • (1 + Кс.ф.),

где Зосн. - основная зарплата работников, определяемая в зависимости от трудоемкости этапов разработки, квалификации исполнителей и уровня их оплаты:

Зосн. = Зijчас • tij,

где m - количество этапов разработки; n - количество разработчиков, принимающих участие в разработке; Зijчас - часовая зарплата разработчика i- той квалификации на j- м этапе разработки;

tij - затраты времени в часах i-го разработчика на j- м этапе;

Кдоп, Кс.ф. - коэффициенты, учитывающие дополнительную зарплату и отчисления в социальные фонды.

Значения Кдоп, Кс.ф примем в размере:

Кдоп = 0,1;

Кс.ф =0,26.

По данным таблицы 15 Зосн. = 57725 руб.;

Зз/п=80000 руб.

Расходы по арендной плате за помещения Зар.:

Зар. = Цар. Sim Тразр./ 365,

где Цар. - арендная плата за 1 кв.м. площади в месяц; Sпл. - арендуемая площадь в кв.м.;

Тразр. - время на разработку в календарных днях, определяемое из сетевого или календарного графика.

Тразр. = 116 дней.

Размер необходимой арендуемой площади Sпл.:

Sпл. = qчел + 5,

где   - количество исполнителей; qчел - норма площади на 1 человека, равная 6 кв.м.

   

Sпл. = 8 6 + 5 = 53 м2

Зар. = 12000 53 116/365 = 202126 руб.

Затраты на освещение и отопление Зэн.:

        Зэн. = Р · tдн · Тразр.раб. ·  Wэ + Sпл. · (Тразр/365) · Wтепл.,

   

где Р - суммарная мощность энергоприемников, кВт; Р=3кВт;                      tдн - продолжительность работы энергоприемников в течение дня, час;

tдн = 8ч.

Тразр.раб. - продолжительность разработки в рабочих днях

                                     Тразр.раб. = 64 дня

Тразр.раб. =  Тразр. · f;

f- коэффициент перевода рабочих дней в календарные;

                                              f = 1,4

Wэ - тариф на электроэнергию, руб./кВтч;

Wэ = 1,7руб.

Wтепл. - тариф на тепловую энергию, руб./ м2 в месяц.

Wтепл. = 15руб./ м2

Тразр.раб. = 83 дней

Зэн. = 3 8 83 1,7 + 53 200 116/365 = 6755 руб.

Косвенные расходы организации разработчика Зкосв.:

Зкосв. = Зосн Ккосв.,

где Ккосв. - коэффициент косвенных затрат, принимаемый 1,5.

Зкосв. = 86600 руб.

Затраты  на  разработку  устройства  могут  быть  определены  методом калькулирования себестоимости по отдельным статьям расходов.

Смета расходов на разработку:

Таблица 16

Наименование статей калькуляции

Расходы, руб.

1

Расходы по зарплате исполнителей

80000

2

Расходы по арендной плате за помещения

202126

3

Затраты на освещение и отопление

6755

4

Косвенные расходы организации разработчика

86600



Всего:

375481







Расчет экономического эффекта у производителя продукта.

Для производителя продукта главным показателем эффективности работы является прибыль, получаемая при реализации продукции. Прибыль от реализации товара в общем случае находится под воздействием таких факторов, как объем реализации, структура и номенклатура продукции, отпускные цены на продукт, издержки производства и их состав.

Одним из подходов к оценке эффективности работы фирмы и степени предпринимательского риска является анализ безубыточности производства.  К постоянным затратам относятся те из них, величина которых практически не изменяется при изменении объема производства продукции. Это расходы, связанные с арендой производственных помещений, амортизацией основных фондов, оплатой труда управленческого персонала, административно-хозяйственные расходы, затраты на рекламу, маркетинговые исследования и др. Постоянные затраты можно рассчитать на основе разработанной выше калькуляции себестоимости, включив в них цеховые расходы Sц, общецеховые расходы So, прочие производственные расходы Sпр, внепроизводственные расходы Sbh. Так как Спост рассчитываются на годовой объем выпуска продукции, а в таблице они приведены в расчете на единицу продукции, их вели­чина определяется:

Спост = ( Sц + So + Sпр + Sbh + Зразр/Nпред) Nгод,

где Sц, So, Sпр, Sbh  -  соответствующие значения из таблицы; Nгод,  Nпред - объемы производства продукции; Зразр – затраты на разработку нового продукта.

Спост. = (737 + 737 + 770,5 + 225 + 375481/300) 150=188975 руб.

К переменным затратам относятся те составляющие себестоимости, общий объем которых изменяется пропорционально изменению объема производства. Это затраты на материалы и покупные полуфабрикаты (СПр), заработную плату основных производственных рабочих с начислениями (Сзп) и др. Их величина на единицу продукции определяется из таблицы 14.

V = Спр + Сзп

V = 4945 + 587 = 5532 рyб.

Суммарные издержки производства S:

S = Спост + Nгод • V

S = 188975 + 150 • 5532 = 1018775 руб.

Выручка от реализации продукции:

В = (Ц - НДС) • Nгод,

где Ц - рыночная цена единицы продукции.

В = 13770 • 150 = 1750500 руб.






Анализ безубыточности.

Для  анализа  безубыточности  построим  график (см. 210303-09-13-03 л.7).

Характерной точкой на этом графике является пересечение прямых S и В. Объем Nб, который соответствует этой точке, обеспечивает покрытие всех расходов выручкой от реализации и называется "точкой безубыточности".

Аналитически этот объем определяется:

Nб = Спост./(Ц - V - НДС)

Nб = 188975/(13770 - 5532 - 2100) = 31 шт.

Полученное значение Nб<Nгод следовательно производство приборов прибыльно.

Размер получаемой фирмой прибыли определяется аналитически:

П = ЗФП • ВП,

где ЗФП - запас финансовой прочности, равный Nгод - Nб

ЗФП = NГ0Д • N6 = 150 – 31 = 129 шт.

ВП   - величина покрытия, равная Ц - V.

ВП = 13770 - 5532 = 8238 руб.

П = 129 шт. • 8238 руб. = 1061670 руб.

Расчет экономического эффекта у пользователя.

Из таблицы и рассчитанного интегрального показателя конкурентоспособности видно, что разработанное устройство обладает значительным преимуществом перед аналогами. При приобретении РУДТМ пользователь экономит деньги и получает устройство, обладающий набором технических характеристик не хуже конкурентных.

Сетевой график планирования.

Составим перечень работ (таблица 17) и по нему построим сетевой график планирования (см. 210303-09-13-02 л.7).

Таблица 17

Наименование работы

Шифр работы

Время выполнения

Количество исполнителей

1

Изучение существующих устройств-аналогов

0-1

150

1

2

Разработка электрической схемы

1-2

150

1

3

Подбор электронных компонентов

2-3

40

1

4

Корректировка схемы

3-4

16

1

5

Написание программы  для управляющего устройства

4-5

150

1

6

Разработка и изготовление монтажной платы  

4-6

80

1

7

Разработка и изготовление корпуса

6-10

8

1

8

Оформление внешнего вида

11-12

10

1

9

Закупка электронных компонентов для пробной партии из 3-х шт.

3-7

120

1

10

Монтаж компонентов на плату

8-9

16

2

11

Загрузка программы для управляющего устройства

5-8

1

1

12

Сборка устройств

10-11

16

2

13

Тестирование устройств

12-13

24

1

14

Создание инструкции по ремонту и эксплуатации

13-14

32

1

15

Создание чертежа компоновки

13-15

32

1

16

Оформление конструкторской документации

15-16

40

1

10.Вопросы охраны труда и техники безопасности.

Потенциально опасные и вредные производственные факторы.

Имеющийся в настоящее время в нашей стране комплекс разработанных организационных мероприятий и технических средств защиты, накопленный передовой опыт работы ряда вычислительных центров показывает, что имеется возможность добиться значительно больших успехов в деле устранения воздействия на работающих опасных и вредных  производственных факторов. Однако состояние условий труда и его безопасности в ряде ВЦ еще не удовлетворяют современным требованиям. Операторы ЭВМ, операторы  подготовки данных,  программисты  и другие работники ВЦ еще сталкиваются с воздействием таких физически опасных и вредных производственных факторов, как повышенный уровень шума, повышенная температура внешней среды, отсутствие или недостаточная освещенность рабочей зоны, электрический ток, статическое электричество и другие.

Многие сотрудники ВЦ связаны с воздействием таких психофизических факторов, как умственное перенапряжение, перенапряжение зрительных и слуховых анализаторов, монотонность труда, эмоциональные перегрузки. Воздействие указанных неблагоприятных факторов приводит к снижению работоспособности, вызванное развивающимся утомлением. Появление и развитие утомления связано с изменениями, возникающими во время работы в центральной нервной системе, с тормозными процессами в коре головного мозга. Например, сильный шум вызывает трудности с распознанием цветовых сигналов, снижает быстроту восприятия цвета, остроту зрения, зрительную адаптацию, нарушает восприятие визуальной информации, уменьшает на 5-12% производительность труда. Длительное воздействие шума с уровнем звукового давления 90 дБ снижает производительность труда на 30-60 %.

Медицинские обследования работников ВЦ показали, что помимо снижения производительности труда, высокие уровни шума приводят к ухудшению слуха. Длительное нахождение человека  в  зоне комбинированного воздействия различных неблагоприятных факторов может привести к профессиональному заболеванию. Анализ травматизма среди работников ВЦ показывает, что в основном несчастные случаи происходят от воздействия физически опасных производственных факторов при заправке носителя информации на вращающийся барабан при снятом кожухе, при выполнении сотрудниками несвойственных им работ. На втором месте случаи, связанные с воздействием электрического тока.  

                   

                         

Обеспечение электробезопасности

          

Электрические установки, к которым относится практически все оборудование ЭВМ, представляют для человека большую потенциальную опасность, так как в процессе эксплуатации или проведении профилактических работ человек может коснуться  частей, находящихся под напряжением. Специфическая опасность электроустановок: токоведущие проводники, корпуса ЭВМ и прочего оборудования, оказавшегося под напряжением в результате повреждения (пробоя) изоляции, не подают каких-либо сигналов, которые предупреждают человека об опасности. Реакция человека на электрический ток возникает лишь при протекании последнего через тело человека.

В ВЦ разрядные токи статического электричества чаще всего возникают при прикосновении к любому из элементов ЭВМ. Такие разряды опасности для человека не представляют, но кроме неприятных ощущений они могут привести к выходу из строя ЭВМ. Для снижения величины возникающих зарядов статического электричества в ВЦ покрытие технологических полов следует выполнять из однослойного поливинилхлоридного антистатического линолеума. Другим методом защиты является нейтрализация заряда статического электричества ионизированным газом. В промышленности широко применяются радиоактивные нейтрализаторы. К общим мерам защиты от статического электричества в ВЦ можно отнести общие и местное увлажнение воздуха.

Классификация помещений по степени электробезопасности

Помещения подразделяются по степени опасности поражения людей электрическим током на три категории.


                      Помещения с повышенной опасностью

Сырые помещения, относительная влажность в которых длительно превышает 75%;

Помещения, в которых имеется токопроводящая пыль в таком количестве, что она оседает на проводах, проникает внутрь машин, аппаратов и т. п.

Помещения с токопроводящими полами (металлическими, земляными, железобетонными, кирпичными)


Помещения, в которых температура воздуха длительно превышает +30° С

Помещения, в которых возможно одновременное прикасание человека к корпусам электрического оборудования и металлическим конструкциям зданий, технологическому оборудованию и т. п.

                     

Помещения особо опасные

Это очень сырые помещения, относительная влажность которых близка к 100%. Потолок, стены, пол, оборудование в таких помещениях постоянно покрыты влагой.

Помещения с химически активной средой, которая разрушает изоляцию и электроматериалы.

Помещения, в которых одновременно совпадают два признака помещений повышенной опасности.

            Помещения без повышенной опасности

Это те помещения, в которых отсутствуют условия, создающие повышенную и особую опасности.

При производстве строительных работ с использованием электрической энергии на открытом воздухе внутри емкостей, внутри непросохших зданий степень опасности поражения людей электрическим током следует приравнивать к условиям в особо опасных помещениях.

При работе с электрическими установками в особо опасных условиях необходимо использовать напряжение 12 В. В помещениях с повышенной опасностью следует использовать напряжение 36 В. Электрические двигатели, используемые для привода строительных машин, механизмов, устройств, инструмента, а также пускорегулирующая, контрольно-измерительная и защитная аппаратура, электрощиты, пульты управления и т. п. по форме исполнения, способу установки и классу изоляции должны соответствовать условиям.

Основные меры защиты от поражения эл. током

обеспечение недоступности токоведущих частей, находящихся под напряжением для случайного прикосновения, устранение опасности поражения при появлении напряжений на корпусах, кожухах;

защитное заземление, зануление, защитное отключение;

использование низких напряжений;

применение двойной изоляции.

Защитное заземление.

Преднамеренное соединение с землёй и других конструктивных, металлических частей электрооборудования, которые нормально не находятся под напряжением, но могут оказаться под напряжением при случайном соединении с токоведущими частями. Задача защитного заземления-устранение опасности поражения тока человека в случае прикосновения к корпусу, оказавшемуся под напряжением.

Область применения защитного заземления трёхфазные сети питания до 1000 в. с изолированной централью.

Принцип действия защитного заземления--снятие напряжения между корпусом, оказавшемся под напряжением, и до безопасного значения. Так разница при защитном заземлении и без по току будет примерно в 150 раз.

Заземляющие устройства-это совокупность заземлителя-металлических проводников.

Заземлители бывают искусственные и естественные.

Заземляющие проводники обычно изготавливаются из листовой стали.

Оборудование подлежащее заземлению-это металлические нетоковедущие металлические части электрооборудования, при этом в помещениях с повышенной опасностью или особо опасных заземлений установки выше 12 вольт переменного или 110 вольт постоянного тока.

Зануление.

Занулением наз. присоединение к неоднократно заземленному нулевому проводу питающей сети корпусов и других металлических частей электрооборудования, которые нормально не находятся под напряжением.

Задача зануления та же что и защитного заземления.

Принцип зануления-превращения пробоя на корпус в однофазное короткое замыкание (т.е. замыкание между фазой и нулевым проводом) с целью вызвать большой ток, способный обеспечить срабатывание защиты, т.е. отключить установки от питающей сети. Такой защитой являются : плавкие предохранители, автоматы.

Область применения зануления : трёхфазные четырех проводные сети до 1000 в. с глухо-заземленной нейтралью.

Защитные средства

Защитные средства делятся на три группы : изолирующие, ограждающие, предохранительные.

Изолирующие-обеспечивают изоляцию человека от токоведущих частей, а также от земли. Изолирующие защитные средства делятся на основные и дополнительные.

Основные изолирующие средства-способны длительное время выдерживать рабочие напряжения (до 1000 в. -- резиновые перчатки, инструмент с изолированными рукоятками).

Дополнительные изолирующие средства-до 1000 в. диэлектрические калоши, коврики.

Ограждающие средства-временное ограждения--щиты, переносное заземление.

Предохранительные-защитные очки, противогазы, предохранительные пояса.

Обеспечение санитарно-гигиенических требований к            помещениям  ВЦ.

Помещения ВЦ, их размеры (площадь, объем) должны в первую очередь соответствовать количеству работающих и размещаемому в них комплекту технических средств. В них предусматриваются соответствующие параметры температуры, освещения, чистоты воздуха, обеспечивают изоляцию, от производственных шумов и т.п. Для обеспечения нормальных условий труда на одного работающего, объем  производственного помещения сотавляет не менее 15 м3, площадь помещения выгороженного стенами или глухими перегородками не менее 4,5 м3.

Для эксплуатации ЭВМ следует предусматривать следующие помещения:

· машинный зал, помещение для размещения сервисной и периферийной аппаратуры, помещение для хранения запасных деталей, инструментов, приборов (ЗИП);

· помещения для размещения приточно-вытяжных вентиляторов;

· помещение для персонала;

· помещение для приема-выдачи информации.

Основные помещения ВЦ располагаются в непосредственной близости друг от друга. Их оборудуют вентиляцией и искусственным освещением. К помещению машинного зала и хранения магнитных носителей информации предъявляются особые требования. Площадь машинного зала должна соответствовать площади, необходимой по заводским техническим условиям данного типа ЭВМ.

Высота зала над технологическим полом до подвесного потолка должна быть 3-3,5м. Расстояние между подвесным и основным потолками при этом должно быть 0,5-0,8м. Высоту подпольного пространства принимают равной 0,2-0,6м.

В ВЦ, как правило, применяется боковое естественное освещение. Рабочие комнаты и кабинеты должны иметь естественное освещение. В остальных помещениях допускается искусственное освещение.

В тех случаях, когда одного естественного освещения не хватает, устанавливается совмещенное освещение. При этом дополнительное искусственное освещение применяется не только в темное, но и в светлое время суток.

Искусственное освещение по характеру выполняемых задач делится на рабочее, аварийное, эвакуационное.

Рациональное цветовое оформление помещения направлено на улучшение санитарно-гигиенических условий труда, повышение его производительности и безопасности. Окраска помещений ВЦ влияет на нервную систему человека, его настроение, и в конечном счете на производительность труда. Основные производственные помещения целесообразно окрашивать в соответствии с цветом технических средств. Освещение помещения и оборудования должно быть мягким, без блеска.

Снижение шума, создаваемого на рабочих местах ВЦ внутренними источниками, а также шума, проникающего извне,  является очень важной задачей. Снижение шума в источнике излучения можно обеспечить применением упругих прокладок между основанием машины, прибора и опорной поверхностью. В  качестве прокладок используются резина, войлок, пробка, различной конструкции амортизаторы. Под настольные шумящие аппараты можно подкладывать мягкие коврики из синтетических материалов, а под ножки столов, на которых они установлены - прокладки из мягкой резины, войлока, толщиной 6-8мм. Крепление прокладок возможно путем приклейки их к опорным частям.

Возможно также применение звукоизолирующих кожухов, которые не мешают технологическому процессу. Не менее важным для снижения шума в процессе эксплуатации является вопрос правильной и своевременной регулировки, смазывания и замены механических узлов шумящего оборудования.

Рациональная планировка помещения, размещения оборудования в ВЦ является важным фактором, позволяющим снизить шум при существующем оборудовании ЭВМ. При планировке ВЦ машинный зал и помещение для сервисной аппаратуры необходимо располагать вдали от шумящего и вибрирующего оборудования.

Снижение уровня шума, проникающего в производственное помещение извне, может быть достигнуто увеличением звукоизоляции ограждающих конструкций, уплотнением по периметру притворов окон, дверей.

Таким образом для снижения шума создаваемого на рабочих местах  внутренними источниками, а также шума, проникающего извне, следует:

· ослабить шум самих источников (применение экранов, звукоизолирующих кожухов);

· снизить эффект суммарного воздействия отраженных звуковых волн (звукопоглощающие поверхности конструкций);

· применять рациональное расположение оборудования;

· использовать архитектурно-планировочные и технологические решения изоляции источников шума.

Противопожарная защита.

Пожары в ВЦ представляют особую опасность, так как сопряжены с большими материальными потерями. Характерная особенность ВЦ - небольшие площади помещений. Как известно, пожар может возникнуть при взаимодействии горючих веществ, окисления и источников зажигания. В помещениях ВЦ присутствуют все три основные фактора, необходимые для возникновения пожара.

Горючими компонентами на ВЦ являются: строительные материалы для акустической и эстетической отделки помещений, перегородки, двери, полы, перфокарты и перфоленты, изоляция кабелей и др.

Источниками зажигания в ВЦ могут быть электронные схемы от ЭВМ, приборы, применяемые для технического обслуживания, устройства электропитания, кондиционирования воздуха, где в результате различных нарушений образуются перегретые элементы, электрические искры и дуги, способные вызвать возгорание горючих материалов.

В современных ЭВМ имеет место быть очень высокая плотность размещения элементов электронных схем. В непосредственной близости друг от друга располагаются соединительные провода, кабели. При протекании по ним электрического тока выделяется значительное количество теплоты.  При этом возможно оплавление изоляции. Для отвода избыточной теплоты от ЭВМ служат системы вентиляции и кондиционирования  воздуха. При постоянном действии эти системы представляют собой дополнительную пожарную опасность.

Энергоснабжение ВЦ осуществляется от трансформаторной станции и двигатель-генераторных агрегатов. На  трансформаторных подстанциях особую опасность представляют трансформаторы с масляным охлаждением. В связи с этим предпочтение следует отдавать сухим трансформаторам.

Пожарная опасность двигатель-генераторных агрегатов обусловлена возможностью коротких замыканий, перегрузки, электрического искрения. Для безопасной работы необходим правильный расчет и выбор аппаратов защиты. При проведении  обслуживающих, ремонтных и профилактических работ используются различные смазочные вещества, легковоспламеняющиеся жидкости, прокладываются временные электропроводники, ведется пайка и чистка отдельных узлов. Возникает дополнительная пожарная опасность, требующая дополнительных мер пожарной защиты. В частности, при работе с паяльником следует использовать несгораемую подставку с несложными приспособлениями для уменьшения потребляемой мощности в нерабочем состоянии.

Для большинства помещений ВЦ установлена категория пожарной опасности В.

Одной из наиболее важных задач пожарной защиты является защита строительных помещений от разрушений и обеспечение их достаточной прочности в условиях воздействия высоких температур при пожаре. Учитывая высокую стоимость электронного оборудования ВЦ, а также категорию его пожарной опасности, здания для ВЦ и части здания другого назначения, в которых предусмотрено размещение ЭВМ, должны быть 1 и 2 степени огнестойкости.

К средствам тушения пожара, предназначенных для локализации небольших возгораний, относятся пожарные стволы, внутренние пожарные водопроводы, огнетушители, сухой песок, асбестовые одеяла и т. п.

В зданиях ВЦ пожарные краны устанавливаются в коридорах, на площадках лестничных клеток и входов. Вода используется для тушения пожаров в помещениях программистов, библиотеках, вспомогательных и служебных помещениях. Применение воды в машинных залах ЭВМ, хранилищах носителей информации, помещениях контрольно-измерительных приборов ввиду опасности повреждения или полного выхода из строя дорогостоящего оборудования возможно в исключительных случаях, когда пожар принимает угрожающе крупные размеры. При этом количество воды должно быть минимальным, а устройства ЭВМ необходимо защитить от попадания воды, накрывая их брезентом или полотном.

Для тушения пожаров на начальных стадиях широко применяются огнетушители. В производственных помещениях ВЦ применяются главным образом ОУ-2 и ОУ-5 огнетушители, достоинством которых является высокая эффективность тушения пожара.

11. Заключение.

Краткая информация о результатах разработки, выполненной при создании аппаратных средств и ПО.

Целью данной работы являлась разработка устройства, подключаемого к персональному компьютеру, предназначенного для контроля и определения типа интегральных логических микросхем методом сигнатурного анализа. В ходе дипломного проектирования была разработана структурная схема устройства. После выбора элементной базы результатом проделанной работы явилась разработка принципиальной схемы устройства согласования  по входу проектируемого устройства; разработка алгоритмов и выбранные программные средства позволили создать подпрограммы тестирования и определения типа микросхем на языке Ассемблер. В экономической части диплома была рассчитана себестоимость и цена данного устройства.







12. Литература

1) В.С.Гутников “Интегральная электроника в измерительных устройствах”, Л.:Энргоатомиздат, 1988

2) А.Л.Булычев, В.И.Галкин “Аналоговые интегральные схемы”, Мн.: Беларусь, 1994

3) М.И.Богданович, И.Н.Грель “Цифровые интегральные микросхемы”: справочник, Mн.: Беларусь, 1991

4) В.Л.Шило “Популярные цифровые микросхемы”: справочник, М.: Радио и связь, 1987

5) Р.Джордейн “Справочник программиста персональных компьютеров типа IBM PC XT и AT”: пер с англ. М: Финансы и статистика, 1992

6) С.Т.Усатенко, Т.К,Каченюк, М.В.Терехова. “Выполнение электрических схем по ЕСКД”: справочник, М.: Издательство стандартов, 1989. - 325| с.

7) Д.В.Стефанков “Справочник программиста и пользователя”. - М:“Кварта”, 1993.- 128с.

8) Под ред. М.Дадашова “Проектирование пользовательского интерфейса на персональных компьютерах. Стандарт фирмы IBM.” - M: фирма “ЛЕВ”, 1992. - 186с.

9) Коутс Р., Влейминк И.  “Интерфейс Человек-Компьютер”: пер. с англ. - M.: Мир, 1990. - 501с.

10) Каган Б.М., Мкртумян И.Б. “Основы эксплуатации ЭВМ”: Учеб. пособие для вузов/ Под ред. Б.М.Кагана. - М.: Энергоатомиздат, 1983.-376с., ил.

13.Приложения.

Основные параметры тестируемых микросхем

а) ТТЛ микросхемы [3,4] (при Uпит.=5в):

Параметр

К155

К555

К531

КР1531

U1вх. мин., В

2

2

2

2

U0вх. макс., В

0.8

0.8

0.8

0.8

U0вых. макс., В

0.4

0.5

0.5

0.5

I0вых. макс., мА

16

8

20


U1вых. мин., В

2.4

2.7

2.7

I1вых., макс., мА

-0.8

-0.4

-1


I1вых. макс. с ОК, мкА

250

100

250


I1вых. макс. сост. Z, мкА

40

20

50


I0вых. макс. сост. Z, мкА

-40

-20

-50


I1вх. макс., мкА

40

20

50

20

I0вх. макс., мА

-1.6

-0.4

-2.0

-0.6

Iк.з. макс., мА (U0вых=0)

-(18¸55)

-100

-100

-(60¸150)

tзд. Р., нс

9

9.5

3

3

Rн, кОм

0.4

2

0.28

0.28

Pпот., мВт

10

2

19

4


б) КМОП микросхемы [3,4] (при Uпит.=10в):

Параметр

К176

К561

КР1561

U1вх. мин., В

7

7

7

U0вх. макс., В

3

3

3

Iвх. макс., мкА

0.1

0.2

0.3

U0вых. макс., В

0.3

2.9

1

I0вых. макс., мА


0.3

1.1

U1вых. мин., В

8.2

7.2

9

I1вых. макс., мА


0.3

-1.1

tзд. Р., нс

600

620

190


Похожие работы на - Система для проверки микросхем методом сигнатурного анализа

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!